-
2
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
ACM Press, New York, New York
-
Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In International Conference on Parallel Architectures and Compilation Techniques. ACM Press, New York, New York.
-
(2008)
International Conference on Parallel Architectures and Compilation Techniques
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
3
-
-
77955007393
-
A dynamically configurable coprocessor for convolutional neural networks
-
ACM Press, New York, NY
-
Srimat Chakradhar, Murugan Sankaradas, Venkata Jakkula, and Srihari Cadambi. 2010. A dynamically configurable coprocessor for convolutional neural networks. In International Symposium on Computer Architecture. ACM Press, New York, NY, 247. DOI:http://dx.doi.org/10.1145/1815961.1815993
-
(2010)
International Symposium on Computer Architecture
, pp. 247
-
-
Chakradhar, S.1
Sankaradas, M.2
Jakkula, V.3
Cadambi, S.4
-
4
-
-
84873463816
-
BenchNN: On the broad potential application scope of hardware neural network accelerators
-
Tianshi Chen, Yunji Chen, Marc Duranton, Qi Guo, Atif Hashmi, Mikko Lipasti, Andrew Nere, Shi Qiu, Michele Sebag, and Olivier Temam. 2012. BenchNN: On the broad potential application scope of hardware neural network accelerators. In International Symposium on Workload Characterization.
-
(2012)
International Symposium on Workload Characterization
-
-
Chen, T.1
Chen, Y.2
Duranton, M.3
Guo, Q.4
Hashmi, A.5
Lipasti, M.6
Nere, A.7
Qiu, S.8
Sebag, M.9
Temam, O.10
-
5
-
-
84988406311
-
DaDianNao: A machine-learning supercomputer
-
Yunji Chen, Tao Luo, Shijin Zhang, Shaoli Liu, Liqiang He, Jia Wang, Ling Li, Tianshi Chen, Zhiwei Xu, Ninghui Sun, and Olivier Temam. 2014. DaDianNao: A machine-learning supercomputer. In International Symposium on Microarchitecture.
-
(2014)
International Symposium on Microarchitecture
-
-
Chen, Y.1
Luo, T.2
Zhang, S.3
Liu, S.4
He, L.5
Wang, J.6
Li, L.7
Chen, T.8
Xu, Z.9
Sun, N.10
Temam, O.11
-
7
-
-
34249753618
-
Support-vector networks
-
Corinna Cortes and Vladimir Vapnik. 1995. Support-vector networks. In Machine Learning. 273-297.
-
(1995)
Machine Learning
, pp. 273-297
-
-
Cortes, C.1
Vapnik, V.2
-
9
-
-
0035989190
-
On the capabilities of neural networks using limited precision weights
-
2002
-
Sorin Draghici. 2002. On the capabilities of neural networks using limited precision weights. Neural Netw. 15, 3 (2002), 395-414. DOI:http://dx.doi.org/10.1016/S0893-6080(02)00032-1
-
(2002)
Neural Netw.
, vol.15
, Issue.3
, pp. 395-414
-
-
Draghici, S.1
-
10
-
-
84897884384
-
Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators
-
Zidong Du, Avinash Lingamneni, Yunji Chen, Krishna V. Palem, Olivier Temam, and Chengyong Wu. 2014. Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators. In Asia and South Pacific Design Automation Conference.
-
(2014)
Asia and South Pacific Design Automation Conference
-
-
Du, Z.1
Lingamneni, A.2
Chen, Y.3
Palem, K.V.4
Temam, O.5
Wu, C.6
-
13
-
-
64849117951
-
Bridging the computation gap between programmable processors and hardwired accelerators
-
IEEE Computer Society
-
Kevin Fan, Manjunath Kudlur, Ganesh S. Dasika, and Scott A. Mahlke. 2009. Bridging the computation gap between programmable processors and hardwired accelerators. In HPCA. IEEE Computer Society, 313-322.
-
(2009)
HPCA
, pp. 313-322
-
-
Fan, K.1
Kudlur, M.2
Dasika, G.S.3
Mahlke, S.A.4
-
14
-
-
80054919955
-
NeuFlow: A runtime reconfigurable dataflow processor for vision
-
IEEE
-
Clement Farabet, BerinMartini, Benoit Corda, Polina Akselrod, Eugenio Culurciello, and Yann LeCun. 2011. NeuFlow: A runtime reconfigurable dataflow processor for vision. In CVPR Workshop. IEEE, 109-116. DOI:http://dx.doi.org/10.1109/CVPRW.2011.5981829
-
(2011)
CVPR Workshop
, pp. 109-116
-
-
Farabet, C.1
Martini, B.2
Corda, B.3
Akselrod, P.4
Culurciello, E.5
LeCun, Y.6
-
15
-
-
77954995378
-
Understanding sources of inefficiency in general-purpose chips
-
ACM Press, New York, New York
-
Rehan Hameed, Wajahat Qadeer, Megan Wachs, Omid Azizi, Alex Solomatnikov, Benjamin C. Lee, Stephen Richardson, Christos Kozyrakis, and Mark Horowitz. 2010. Understanding sources of inefficiency in general-purpose chips. In International Symposium on Computer Architecture. ACM Press, New York, New York, 37. DOI:http://dx.doi.org/10.1145/1815961.1815968
-
(2010)
International Symposium on Computer Architecture
, pp. 37
-
-
Hameed, R.1
Qadeer, W.2
Wachs, M.3
Azizi, O.4
Solomatnikov, A.5
Lee, B.C.6
Richardson, S.7
Kozyrakis, C.8
Horowitz, M.9
-
16
-
-
79953071809
-
A case for neuromorphic ISAs
-
ACM, New York, NY. DOI:http://dx.doi.org/10.1145/1950365.1950385
-
Atif Hashmi, Andrew Nere, James Jamal Thomas, and Mikko Lipasti. 2011. A case for neuromorphic ISAs. In International Conference on Architectural Support for Programming Languages and Operating Systems. ACM, New York, NY. DOI:http://dx.doi.org/10.1145/1950365.1950385
-
(2011)
International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Hashmi, A.1
Nere, A.2
Jamal Thomas, J.3
Lipasti, M.4
-
18
-
-
0027557033
-
Finite precision error analysis of neural network hardware implementations
-
1993
-
Jordan L. Holi and Jenq-Neng Hwang. 1993. Finite precision error analysis of neural network hardware implementations. IEEE Trans. Comput. 42, 3 (1993), 281-290. DOI:http://dx.doi.org/10.1109/12.210171
-
(1993)
IEEE Trans. Comput.
, vol.42
, Issue.3
, pp. 281-290
-
-
Holi, J.L.1
Hwang, J.-N.2
-
19
-
-
0024909727
-
An electrically trainable artificial neural network (ETANN) with 10240 "floating gate" synapses
-
IEEE Press, Piscataway, NJ
-
Mark Holler, Simon Tam, Hernan Castro, and Ronald Benson. 1990. An electrically trainable artificial neural network (ETANN) with 10240 "floating gate" synapses. In Artificial Neural Networks. IEEE Press, Piscataway, NJ, 50-55. DOI:http://dx.doi.org/10.1109/IJCNN.1989.118698
-
(1990)
Artificial Neural Networks
, pp. 50-55
-
-
Holler, M.1
Tam, S.2
Castro, H.3
Benson, R.4
-
21
-
-
56349083817
-
SpiNNaker: Mapping neural networks onto a massively-parallel chip multiprocessor
-
IEEE
-
Muhammad Mukaram Khan, David R. Lester, Luis A. Plana, Alexander D. Rast, Xin Jin, Eustace Painkras, and Stephen B. Furber. 2008. SpiNNaker: Mapping neural networks onto a massively-parallel chip multiprocessor. In IEEE International Joint Conference on Neural Networks (IJCNN). IEEE, 2849-2856. DOI:http://dx.doi.org/10.1109/IJCNN.2008.4634199
-
(2008)
IEEE International Joint Conference on Neural Networks (IJCNN)
, pp. 2849-2856
-
-
Khan, M.M.1
Lester, D.R.2
Plana, L.A.3
Rast, A.D.4
Jin, X.5
Painkras, E.6
Furber, S.B.7
-
22
-
-
73249114232
-
A 201.4 GOPS 496 mW real-time multi-object recognition processor with bio-inspired neural perception engine
-
(Jan. 2010)
-
Joo-young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, and Hoi-jun Yoo. 2010. A 201.4 GOPS 496 mW real-time multi-object recognition processor with bio-inspired neural perception engine. IEEE Journal of Solid-State Circuits 45, 1 (Jan. 2010), 32-45. DOI:http://dx.doi.org/10.1109/JSSC.2009.2031768
-
(2010)
IEEE Journal of Solid-State Circuits
, vol.45
, Issue.1
, pp. 32-45
-
-
Kim, J.-Y.1
Kim, M.2
Lee, S.3
Oh, J.4
Kim, K.5
Yoo, H.-J.6
-
24
-
-
33745899311
-
An efficient hardware architecture for a neural network activation function generator
-
JunWang, Zhang Yi, Jacek M. Zurada, Bao-Liang Lu, and Hujun Yin (Eds.), Lecture Notes in Computer Science, Springer
-
Daniel Larkin, Andrew Kinane, Valentin Muresan, and Noel E O'Connor. 2006b. An efficient hardware architecture for a neural network activation function generator. In ISNN (2), JunWang, Zhang Yi, Jacek M. Zurada, Bao-Liang Lu, and Hujun Yin (Eds.), Lecture Notes in Computer Science, Vol. 3973. Springer, 1319-1327.
-
(2006)
ISNN (2)
, vol.3973
, pp. 1319-1327
-
-
Larkin, D.1
Kinane, A.2
Muresan, V.3
O'connor, N.E.4
-
25
-
-
33750683304
-
Towards hardware acceleration of neuroevolution for multimedia processing applications on mobile devices
-
Daniel Larkin, Andrew Kinane, and Noel E. O'Connor. 2006a. Towards hardware acceleration of neuroevolution for multimedia processing applications on mobile devices. In ICONIP (3). 1178-1188.
-
(2006)
ICONIP (3)
, pp. 1178-1188
-
-
Larkin, D.1
Kinane, A.2
O'connor, N.E.3
-
26
-
-
34547967782
-
An empirical evaluation of deep architectures on problems with many factors of variation
-
ACM Press, New York, New York
-
Hugo Larochelle, Dumitru Erhan, Aaron Courville, James Bergstra, and Yoshua Bengio. 2007. An empirical evaluation of deep architectures on problems with many factors of variation. In International Conference on Machine Learning. ACM Press, New York, New York, 473-480. DOI:http://dx.doi.org/10.1145/1273496.1273556
-
(2007)
International Conference on Machine Learning
, pp. 473-480
-
-
Larochelle, H.1
Erhan, D.2
Courville, A.3
Bergstra, J.4
Bengio, Y.5
-
27
-
-
84867135575
-
Building high-level features using large scale unsupervised learning
-
Quoc V. Le, MarcAurelio Aurelio Ranzato, Rajat Monga, Matthieu Devin, Kai Chen, Greg S. Corrado, Jeffrey Dean, and Andrew Y. Ng. 2012. Building high-level features using large scale unsupervised learning. In International Conference on Machine Learning.
-
(2012)
International Conference on Machine Learning
-
-
Le, Q.V.1
Aurelio, M.2
Ranzato, A.3
Monga, R.4
Devin, M.5
Chen, K.6
Corrado, G.S.7
Dean, J.8
Ng, A.Y.9
-
28
-
-
0032203257
-
Gradient-based learning applied to document recognition
-
1998
-
Yann Lecun, Léon Bottou, Yoshua Bengio, and Patrick Haffner. 1998. Gradient-based learning applied to document recognition. Proc. IEEE 86 (1998). DOI:http://dx.doi.org/10.1109/5.726791
-
(1998)
Proc. IEEE
, vol.86
-
-
Lecun, Y.1
Bottou, L.2
Bengio, Y.3
Haffner, P.4
-
29
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
ACM, New York, NY
-
Sheng Li, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, and Norman P. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 42). ACM, New York, NY, 469-480. DOI:http://dx.doi.org/10.1145/1669112.1669172
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 42)
, pp. 469-480
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
30
-
-
84863551827
-
Accelerating neuromorphic vision algorithms for recognition
-
Ahmed Al Maashri, Michael Debole, Matthew Cotter, Nandhini Chandramoorthy, Yang Xiao, Vijaykrishnan Narayanan, and Chaitali Chakrabarti. 2012. Accelerating neuromorphic vision algorithms for recognition. In Proceedings of the 49th Annual Design Automation Conference (DAC'12) (2012), 579. DOI:http://dx.doi.org/10.1145/2228360.2228465
-
(2012)
Proceedings of the 49th Annual Design Automation Conference (DAC'12) (2012)
, pp. 579
-
-
Al Maashri, A.1
Debole, M.2
Cotter, M.3
Chandramoorthy, N.4
Xiao, Y.5
Narayanan, V.6
Chakrabarti, C.7
-
31
-
-
80455149790
-
A digital neurosynaptic core using embedded crossbar memory with 45pJ per spike in 45nm
-
IEEE
-
Paul Merolla, John Arthur, Filipp Akopyan, Nabil Imam, Rajit Manohar, and D. S. Modha. 2011. A digital neurosynaptic core using embedded crossbar memory with 45pJ per spike in 45nm. In IEEE Custom Integrated Circuits Conference. IEEE, 1-4.
-
(2011)
IEEE Custom Integrated Circuits Conference
, pp. 1-4
-
-
Merolla, P.1
Arthur, J.2
Akopyan, F.3
Imam, N.4
Manohar, R.5
Modha, D.S.6
-
34
-
-
84881162326
-
Convolution engine: Balancing efficiency and flexibility in specialized computing
-
Wajahat Qadeer, Rehan Hameed, Ofer Shacham, Preethi Venkatesan, Christos Kozyrakis, and Mark A. Horowitz. 2013. Convolution engine: Balancing efficiency and flexibility in specialized computing. In International Symposium on Computer Architecture.
-
(2013)
International Symposium on Computer Architecture
-
-
Qadeer, W.1
Hameed, R.2
Shacham, O.3
Venkatesan, P.4
Kozyrakis, C.5
Horowitz, M.A.6
-
36
-
-
84874575248
-
Convolutional neural networks applied to house numbers digit classification
-
Pierre Sermanet, Soumith Chintala, and Y. LeCun. 2012. Convolutional neural networks applied to house numbers digit classification. In International Conference on Pattern Recognition. http://ieeexplore.ieee.org/xpls/abs-all.jsp?arnumber=6460867.
-
(2012)
International Conference on Pattern Recognition
-
-
Sermanet, P.1
Chintala, S.2
Lecun, Y.3
-
37
-
-
80054736963
-
Traffic sign recognition with multi-scale convolutional networks
-
IEEE
-
Pierre Sermanet and Yann LeCun. 2011. Traffic sign recognition with multi-scale convolutional networks. In International Joint Conference on Neural Networks. IEEE, 2809-2813. DOI:http://dx.doi.org/10.1109/IJCNN.2011.6033589
-
(2011)
International Joint Conference on Neural Networks
, pp. 2809-2813
-
-
Sermanet, P.1
Le Cun, Y.2
-
38
-
-
33847380121
-
Robust object recognition with cortex-like mechanisms
-
March 2007
-
Thomas Serre, Lior Wolf, Stanley Bileschi, Maximilian Riesenhuber, and Tomaso Poggio. 2007. Robust object recognition with cortex-like mechanisms. IEEE Transactions on Pattern Analysis and Machine Intelligence 29, 3 (March 2007), 411-26. DOI:http://dx.doi.org/10.1109/TPAMI.2007.56
-
(2007)
IEEE Transactions on Pattern Analysis and Machine Intelligence
, vol.29
, Issue.3
, pp. 411-426
-
-
Serre, T.1
Wolf, L.2
Bileschi, S.3
Riesenhuber, M.4
Poggio, T.5
-
39
-
-
84864858301
-
A defect-tolerant accelerator for emerging high-performance applications
-
Portland, Oregon
-
Olivier Temam. 2012. A defect-tolerant accelerator for emerging high-performance applications. In International Symposium on Computer Architecture. Portland, Oregon.
-
(2012)
International Symposium on Computer Architecture
-
-
Temam, O.1
-
40
-
-
0029386372
-
Software assistance for data caches
-
1995
-
Olivier Temam and Nathalie Drach. 1995. Software assistance for data caches. Future Generation Computer Systems 11, 6 (1995), 519-536. DOI:http://dx.doi.org/10.1016/0167-739X(95)00022-K
-
(1995)
Future Generation Computer Systems
, vol.11
, Issue.6
, pp. 519-536
-
-
Temam, O.1
Drach, N.2
-
41
-
-
67649661466
-
-
HP Labs, Palo Alto, Tech (2008)
-
Shyamkumar Thoziyoor, Naveen Muralimanohar, and JH Ahn. 2008. CACTI 5.1. HP Labs, Palo Alto, Tech (2008). http://www.hpl.hp.com/techreports/2008/HPL-2008-20.pdf?q=cacti.
-
(2008)
CACTI 5.1
-
-
Thoziyoor, S.1
Muralimanohar, N.2
Ahn, J.H.3
-
43
-
-
84864848241
-
QsCORES: Trading dark silicon for scalable energy efficiency with quasi-specific cores categories and subject descriptors
-
Ganesh Venkatesh, Jack Sampson, Nathan Goulding-hotta, Sravanthi Kota Venkata, Michael Bedford Taylor, and Steven Swanson. 2011. QsCORES: Trading dark silicon for scalable energy efficiency with quasi-specific cores categories and subject descriptors. In International Symposium on Microarchitecture.
-
(2011)
International Symposium on Microarchitecture
-
-
Venkatesh, G.1
Sampson, J.2
Goulding-Hotta, N.3
Kota Venkata, S.4
Bedford Taylor, M.5
Swanson, S.6
-
44
-
-
33846098196
-
Dynamically reconfigurable silicon array of spiking neurons with conductance-based synapses
-
2007
-
R. Jacob Vogelstein, Udayan Mallik, Joshua T. Vogelstein, and Gert Cauwenberghs. 2007. Dynamically reconfigurable silicon array of spiking neurons with conductance-based synapses. IEEE Transactions on Neural Networks 18, 1 (2007), 253-265.
-
(2007)
IEEE Transactions on Neural Networks
, vol.18
, Issue.1
, pp. 253-265
-
-
Vogelstein, R.J.1
Mallik, U.2
Vogelstein, J.T.3
Cauwenberghs, G.4
|