-
1
-
-
66749092384
-
Exascale computing study: Technology challenges in achieving exascale systems
-
Arlington, VA, USA, Tech. Rep. TR-2008-13
-
P. Kogge, K. Bergman, S. Borkar, D. Campbell, W. Carlson, W. Dally, M. Denneau, P. Franzon, W. Harrod, K. Hill, J. Hiller, S. Karp, S. Keckler, D. Klein, R. Lucas, M. Richards, Al Scarpelli, S. Scott, A. Snavely, T. Sterling, R. S. Williams, and K. Yelick, Exascale computing study: Technology challenges in achieving exascale systems, DARPA Inf. Process. Techn. Office, Arlington, VA, USA, Tech. Rep. TR-2008-13, 2008.
-
(2008)
DARPA Inf. Process. Techn. Office
-
-
Kogge, P.1
Bergman, K.2
Borkar, S.3
Campbell, D.4
Carlson, W.5
Dally, W.6
Denneau, M.7
Franzon, P.8
Harrod, W.9
Hill, K.10
Hiller, J.11
Karp, S.12
Keckler, S.13
Klein, D.14
Lucas, R.15
Richards, M.16
Scarpelli, A.17
Scott, S.18
Snavely, A.19
Sterling, T.20
Williams, R.S.21
Yelick, K.22
more..
-
2
-
-
79951595196
-
The international exascale software project roadmap
-
J. Dongarra, P. Beckman, T. Moore, P. Aerts, G. Aloisio, J.-C. Andre, D. Barkai, J.-Y. Berthou, T. Boku, B. Braunschweig, F. Cappello, B. Chapman, X. Chi, A. Choudhary, S. Dosanjh, T. Dunning, S. Fiore, A. Geist, B. Gropp, R. Harrison, M. Hereld, M. Heroux, A. Hoisie, K. Hotta, Z. Jin, Y. Ishikawa, F. Johnson, S. Kale, R. Kenway, D. Keyes, B. Kramer, J. Labarta, A. Lichnewsky, T. Lippert, B. Lucas, B. Maccabe, S. Matsuoka, P. Messina, P. Michielse, B. Mohr, M. S. Mueller, W. E. Nagel, H. Nakashima, M. E. Papka, D. Reed, M. Sato, E. Seidel, J. Shalf, D. Skinner, M. Snir, T. Sterling, R. Stevens, F. Streitz, B. Sugar, S. Sumimoto, W. Tang, J. Taylor, R. Thakur, A. Trefethen, M. Valero, A. van der Steen, J. Vetter, P. Williams, R. Wisniewski, and K. Yelick, The international exascale software project roadmap, Int. J. High Perform. Comput. Appl., vol. 25, no. 1, pp. 3-60, 2011.
-
(2011)
Int. J. High Perform. Comput. Appl
, vol.25
, Issue.1
, pp. 3-60
-
-
Dongarra, J.1
Beckman, P.2
Moore, T.3
Aerts, P.4
Aloisio, G.5
Andre, J.-C.6
Barkai, D.7
Berthou, J.-Y.8
Boku, T.9
Braunschweig, B.10
Cappello, F.11
Chapman, B.12
Chi, X.13
Choudhary, A.14
Dosanjh, S.15
Dunning, T.16
Fiore, S.17
Geist, A.18
Gropp, B.19
Harrison, R.20
Hereld, M.21
Heroux, M.22
Hoisie, A.23
Hotta, K.24
Jin, Z.25
Ishikawa, Y.26
Johnson, F.27
Kale, S.28
Kenway, R.29
Keyes, D.30
Kramer, B.31
Labarta, J.32
Lichnewsky, A.33
Lippert, T.34
Lucas, B.35
Maccabe, B.36
Matsuoka, S.37
Messina, P.38
Michielse, P.39
Mohr, B.40
Mueller, M.S.41
Nagel, W.E.42
Nakashima, H.43
Papka, M.E.44
Reed, D.45
Sato, M.46
Seidel, E.47
Shalf, J.48
Skinner, D.49
Snir, M.50
Sterling, T.51
Stevens, R.52
Streitz, F.53
Sugar, B.54
Sumimoto, S.55
Tang, W.56
Taylor, J.57
Thakur, R.58
Trefethen, A.59
Valero, M.60
Steen Der A.Van61
Vetter, J.62
Williams, P.63
Wisniewski, R.64
Yelick, K.65
more..
-
3
-
-
84929313256
-
-
Intel. (2014). [Online]. Available: http://ark.intel.com/products/53580.
-
(2014)
Intel
-
-
-
4
-
-
84897572369
-
A survey of architectural techniques for improving cache power efficiency
-
S. Mittal, A survey of architectural techniques for improving cache power efficiency, Sustainable Comput.: Inf. Syst., vol. 4, no. 1, pp. 33-43, 2014.
-
(2014)
Sustainable Comput.: Inf. Syst
, vol.4
, Issue.1
, pp. 33-43
-
-
Mittal, S.1
-
5
-
-
70450285524
-
Scaling the bandwidth wall: Challenges in and avenues for CMP scaling
-
B. M. Rogers, A. Krishna, G. B. Bell, K. Vu, X. Jiang, and Y. Solihin, Scaling the bandwidth wall: Challenges in and avenues for CMP scaling, in Proc. 36th Annu. Int. Symp. Comput. Archit., 2009, pp. 371-382.
-
(2009)
Proc. 36th Annu. Int. Symp. Comput. Archit
, pp. 371-382
-
-
Rogers, B.M.1
Krishna, A.2
Bell, G.B.3
Vu, K.4
Jiang, X.5
Solihin, Y.6
-
6
-
-
83455203773
-
Phase change memory: From devices to systems
-
M. K. Qureshi, S. Gurumurthi, and B. Rajendran, Phase change memory: From devices to systems, Synthesis Lect. Comput. Archit., vol. 6, no. 4, pp. 1-134, 2011.
-
(2011)
Synthesis Lect. Comput. Archit
, vol.6
, Issue.4
, pp. 1-134
-
-
Qureshi, M.K.1
Gurumurthi, S.2
Rajendran, B.3
-
7
-
-
84865543503
-
A software approach for combating asymmetries of non-volatile memories
-
Y. Li, Y. Chen, and A. K. Jones, A software approach for combating asymmetries of non-volatile memories, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2012, pp. 191-196.
-
(2012)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 191-196
-
-
Li, Y.1
Chen, Y.2
Jones, A.K.3
-
8
-
-
84878206095
-
High-endurance hybrid cache design in CMP architecture with cache partitioning and access-aware policy
-
S.-M. Syu, Y.-H. Shao, and I.-C. Lin, High-endurance hybrid cache design in CMP architecture with cache partitioning and access-aware policy, in Proc. 23rd ACM Int. Conf. Great Lakes Symp. VLSI, 2013, pp.19-24.
-
(2013)
Proc. 23rd ACM Int. Conf. Great Lakes Symp. VLSI
, pp. 19-24
-
-
Syu, S.-M.1
Shao, Y.-H.2
Lin, I.-C.3
-
9
-
-
84891750447
-
-
Iowa State Univ., Ames, IA, USA, Tech. Rep
-
S. Mittal, Energy saving techniques for phase change memory (PCM), Iowa State Univ., Ames, IA, USA, Tech. Rep., 2013.
-
(2013)
Energy Saving Techniques for Phase Change Memory (PCM)
-
-
Mittal, S.1
-
10
-
-
70450243083
-
Hybrid cache architecture with disparate memory technologies
-
X. Wu, J. Li, L. Zhang, E. Speight, R. Rajamony, and Y. Xie, Hybrid cache architecture with disparate memory technologies, in Proc. 36th Annu. Int. Symp. Comput, Archit., 2009, pp. 34-45.
-
(2009)
Proc. 36th Annu. Int. Symp. Comput, Archit
, pp. 34-45
-
-
Wu, X.1
Li, J.2
Zhang, L.3
Speight, E.4
Rajamony, R.5
Xie, Y.6
-
11
-
-
84880300255
-
Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM
-
M.-T. Chang, P. Rosenfeld, S.-L. Lu, and B. Jacob, Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM, in Proc. IEEE 19th Int. Symp. High Perform. Comput. Archit., 2013, pp.143-154.
-
(2013)
Proc IEEE 19th Int. Symp. High Perform. Comput. Archit
, pp. 143-154
-
-
Chang, M.-T.1
Rosenfeld, P.2
Lu, S.-L.3
Jacob, B.4
-
12
-
-
84862110045
-
Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design
-
Y.-T. Chen, J. Cong, H. Huang, B. Liu, C. Liu, M. Potkonjak, and G. Reinman, Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design, in Proc. Des., Autom. Test Eur. Conf. Exhib., 2012, pp. 45-50.
-
(2012)
Proc. Des., Autom. Test Eur. Conf. Exhib
, pp. 45-50
-
-
Chen, Y.-T.1
Cong, J.2
Huang, H.3
Liu, B.4
Liu, C.5
Potkonjak, M.6
Reinman, G.7
-
13
-
-
79955889816
-
Relaxing non-volatility for fast and energy-efficient STTRAM caches
-
C. W. Smullen, V. Mohan, A. Nigam, S. Gurumurthi, and M. R. Stan, Relaxing non-volatility for fast and energy-efficient STTRAM caches, in Proc. IEEE 17th Int. Symp. High Perform. Comput. Archit., 2011, pp. 50-61.
-
(2011)
Proc IEEE 17th Int. Symp. High Perform. Comput. Archit
, pp. 50-61
-
-
Smullen, C.W.1
Mohan, V.2
Nigam, A.3
Gurumurthi, S.4
Stan, M.R.5
-
14
-
-
84862971010
-
Bandwidth-aware reconfigurable cache design with hybrid memory technologies
-
J. Zhao, C. Xu, and Y. Xie, Bandwidth-aware reconfigurable cache design with hybrid memory technologies, in Proc. IEEE Int. Conf. Comput.-Aided Des., 2010, pp. 48-55.
-
(2010)
Proc IEEE Int. Conf. Comput.-Aided des
, pp. 48-55
-
-
Zhao, J.1
Xu, C.2
Xie, Y.3
-
15
-
-
51549109199
-
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement
-
X. Dong, X. Wu, G. Sun, Y. Xie, H. Li, and Y. Chen, Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement, in Proc. IEEE 45th Des. Autom. Conf., 2008, pp. 554-559.
-
(2008)
Proc IEEE 45th Des. Autom. Conf
, pp. 554-559
-
-
Dong, X.1
Wu, X.2
Sun, G.3
Xie, Y.4
Li, H.5
Chen, Y.6
-
16
-
-
84875584266
-
CASHIER: A cache energy saving technique for QoS systems
-
S. Mittal, Z. Zhang, and Y. Cao, CASHIER: A cache energy saving technique for QoS systems, in Proc. 26th Int. Conf. VLSI Des. 12th Int. Conf. Embedded Syst., 2013, pp. 43-48.
-
(2013)
Proc. 26th Int. Conf. VLSI Des. 12th Int. Conf. Embedded Syst
, pp. 43-48
-
-
Mittal, S.1
Zhang, Z.2
Cao, Y.3
-
17
-
-
70350608599
-
After hard drives-what comes next?
-
Oct
-
M. H. Kryder and C. S. Kim, After hard drives-what comes next? IEEE Trans. Magn., vol. 45, no. 10, pp. 3406-3413, Oct. 2009.
-
(2009)
IEEE Trans. Magn
, vol.45
, Issue.10
, pp. 3406-3413
-
-
Kryder, M.H.1
Kim, C.S.2
-
18
-
-
84865546090
-
TapeCache: A high density, energy efficient cache based on domain wall memory
-
R. Venkatesan, V. Kozhikkottu, C. Augustine, A. Raychowdhury, K. Roy, and A. Raghunathan, TapeCache: A high density, energy efficient cache based on domain wall memory, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2012, pp.185-190.
-
(2012)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 185-190
-
-
Venkatesan, R.1
Kozhikkottu, V.2
Augustine, C.3
Raychowdhury, A.4
Roy, K.5
Raghunathan, A.6
-
19
-
-
77957952672
-
An energy efficient cache design using spin torque transfer (STT) RAM
-
M. Rasquinha, D. Choudhary, S. Chatterjee, S. Mukhopadhyay, and S. Yalamanchili, An energy efficient cache design using spin torque transfer (STT) RAM, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2010, pp. 389-394.
-
(2010)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 389-394
-
-
Rasquinha, M.1
Choudhary, D.2
Chatterjee, S.3
Mukhopadhyay, S.4
Yalamanchili, S.5
-
20
-
-
84929371336
-
-
(2014). [Online]. Available: http://www.mram-info.com/companies
-
(2014)
-
-
-
21
-
-
84929371337
-
-
(2014). [Online]. Available: http://www.memorystrategies. com/report/embeddeddram.html
-
(2014)
-
-
-
22
-
-
84929371338
-
-
(2014). [Online]. Available: http://www.economist.com/node/21560981
-
(2014)
-
-
-
23
-
-
77951194761
-
Power7: IBMs next-generation server processor
-
Mar./Apr.
-
R. Kalla, B. Sinharoy, W. J. Starke, and M. Floyd, Power7: IBMs next-generation server processor, IEEE Micro, vol. 30, no. 2, pp. 7-15, Mar./Apr. 2010.
-
(2010)
IEEE Micro
, vol.30
, Issue.2
, pp. 7-15
-
-
Kalla, R.1
Sinharoy, B.2
Starke, W.J.3
Floyd, M.4
-
24
-
-
19344375866
-
Embedded DRAM: Technology platform for the Blue Gene/L chip
-
S. S. Iyer, J. E. Barth Jr., P. C. Parries, J. P. Norum, J. P. Rice, L. R. Logan, and D. Hoyniak, Embedded DRAM: Technology platform for the Blue Gene/L chip, IBM J. Res. Develop., vol. 49, pp. 333-350, 2005.
-
(2005)
IBM J. Res. Develop
, vol.49
, pp. 333-350
-
-
Iyer, S.S.1
Barth, Jr.J.E.2
Parries, P.C.3
Norum, J.P.4
Rice, J.P.5
Logan, L.R.6
Hoyniak, D.7
-
25
-
-
84898062900
-
Haswell: A family of IA 22nm processors
-
N. Kurd, M. Chowdhury, E. Burton, T. P. Thomas, C. Mozak, B. Boswell, M. Lal, A. Deval, J. Douglas, M. Elassal, A. Nalamalpu, T. M. Wilson, M. Merten, S. Chennupaty, W. Gomes, and R. Kumar, Haswell: A family of IA 22nm processors, in Proc. IEEE Int. Solid-State Circuits Conf. Digest Tech. Papers, 2014, pp.112-113.
-
(2014)
Proc IEEE Int. Solid-State Circuits Conf. Digest Tech. Papers
, pp. 112-113
-
-
Kurd, N.1
Chowdhury, M.2
Burton, E.3
Thomas, T.P.4
Mozak, C.5
Boswell, B.6
Lal, M.7
Deval, A.8
Douglas, J.9
Elassal, M.10
Nalamalpu, A.11
Wilson, T.M.12
Merten, M.13
Chennupaty, S.14
Gomes, W.15
Kumar, R.16
-
26
-
-
84880285649
-
Refrint: Intelligent refresh to minimize power in on-chip multiprocessor cache hierarchies
-
A. Agrawal, P. Jain, A. Ansari, and J. Torrellas, Refrint: Intelligent refresh to minimize power in on-chip multiprocessor cache hierarchies, in Proc. Conf. High Perform. Comput. Archit., 2013, pp. 400-411.
-
(2013)
Proc. Conf. High Perform. Comput. Archit
, pp. 400-411
-
-
Agrawal, A.1
Jain, P.2
Ansari, A.3
Torrellas, J.4
-
27
-
-
84929315438
-
-
CACTI 5.3. (2014). [Online]. Available: http://quid.hpl.hp. com:9081/cacti/
-
(2014)
CACTI 5.3
-
-
-
28
-
-
85008048111
-
A 500 MHz random cycle, 1.5 ns latency, SOI embedded DRAM macro featuring a three-transistor micro sense amplifier
-
J. Barth,W.R. Reohr, P. Parries, G.Fredeman, J. Golz, S. E. Schuster, R. E. Matick, H. Hunter, C. C. Tanner, J. Harig, H. Kim, B. A. Khan, J. Griesemer, R. P. Havreluk, K. Yanagisawa, T. Kirihata, and S. S. Iyer, A 500 MHz random cycle, 1.5 ns latency, SOI embedded DRAM macro featuring a three-transistor micro sense amplifier, IEEE J. Solid-State Circuit, vol. 43, no. 1, pp. 86-95, 2008.
-
(2008)
IEEE J. Solid-State Circuit
, vol.43
, Issue.1
, pp. 86-95
-
-
Barthw R. Reohr, J.1
Parries, P.2
Fredeman, G.3
Golz, J.4
Schuster, S.E.5
Matick, R.E.6
Hunter, H.7
Tanner, C.C.8
Harig, J.9
Kim, H.10
Khan, B.A.11
Griesemer, J.12
Havreluk, R.P.13
Yanagisawa, K.14
Kirihata, T.15
Iyer, S.S.16
-
29
-
-
77954995377
-
Reducing cache power with low-cost, multi-bit error-correcting codes
-
C. Wilkerson, A. R. Alameldeen, Z. Chishti, W. Wu, D. Somasekhar, and S.-L. Lu, Reducing cache power with low-cost, multi-bit error-correcting codes, in Proc. 37th Annu. Int. Symp. Comput. Archit., 2010, pp. 83-93.
-
Proc. 37th Annu. Int. Symp. Comput. Archit
, vol.2010
, pp. 83-93
-
-
Wilkerson, C.1
Alameldeen, A.R.2
Chishti, Z.3
Wu, W.4
Somasekhar, D.5
Lu, S.-L.6
-
30
-
-
84864699752
-
Versatile refresh: Low complexity refresh scheduling for highthroughput multi-banked eDRAM
-
M. Alizadeh, A. Javanmard, S.-T. Chuang, S. Iyer, and Y. Lu, Versatile refresh: Low complexity refresh scheduling for highthroughput multi-banked eDRAM, ACM SIGMETRICS Perform. Eval. Rev., vol. 40, no. 1, pp. 247-258, 2012.
-
(2012)
ACM SIGMETRICS Perform. Eval. Rev
, vol.40
, Issue.1
, pp. 247-258
-
-
Alizadeh, M.1
Javanmard, A.2
Chuang, S.-T.3
Iyer, S.4
Lu, Y.5
-
31
-
-
71049148092
-
Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects
-
Y. Huai, Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects, AAPPS Bull., vol. 18, no. 6, pp. 33-40, 2008.
-
(2008)
AAPPS Bull
, vol.18
, Issue.6
, pp. 33-40
-
-
Huai, Y.1
-
32
-
-
84863554441
-
Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs
-
A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan, R. Iyer, and C. R. Das, Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs, in Proc. IEEE/ACM Des. Autom. Conf., 2012, pp.243-252.
-
(2012)
Proc IEEE/ACM Des. Autom. Conf
, pp. 243-252
-
-
Jog, A.1
Mishra, A.K.2
Xu, C.3
Xie, Y.4
Narayanan, V.5
Iyer, R.6
Das, C.R.7
-
33
-
-
70350074635
-
An overview of non-volatile memory technology and the implication for tools and architectures
-
H. Li and Y. Chen, An overview of non-volatile memory technology and the implication for tools and architectures, in Proc. Des., Autom. Test Eur. Conf. Exhib., 2009, pp. 731-736.
-
(2009)
Proc. Des., Autom. Test Eur. Conf. Exhib
, pp. 731-736
-
-
Li, H.1
Chen, Y.2
-
34
-
-
80052662808
-
Bi-layered RRAM with unlimited endurance and extremely uniform switching
-
Y.-B. Kim, S. R. Lee, D. Lee, C. B. Lee, M. Chang, J. H. Hur, M.-J. Lee, G.-S. Park, C. J. Kim, U.Chung, I.-K. Yoo, and K. Kim, Bi-layered RRAM with unlimited endurance and extremely uniform switching, in Proc. Symp. VLSI Technol., 2011, pp. 52-53.
-
Proc. Symp. VLSI Technol
, vol.2011
, pp. 52-53
-
-
Kim, Y.-B.1
Lee, S.R.2
Lee, D.3
Lee, C.B.4
Chang, M.5
Hur, J.H.6
Lee, M.-J.7
Park, G.-S.8
Kim, U.9
Chung, I.-K.10
Yoo, K.11
Kim, C.J.12
-
35
-
-
84862685650
-
NVSim: A circuit-level performance, energy, and area model for emerging nonvolatile memory
-
Jul.
-
X. Dong, C. Xu, Y. Xie, and N. P. Jouppi, NVSim: A circuit-level performance, energy, and area model for emerging nonvolatile memory, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 31, no. 7, pp. 994-1007, Jul. 2012.
-
(2012)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst
, vol.31
, Issue.7
, pp. 994-1007
-
-
Dong, X.1
Xu, C.2
Xie, Y.3
Jouppi, N.P.4
-
36
-
-
84880317508
-
I2WAP: Improving non-volatile cache lifetime by reducing inter-and intra-set write variations
-
J. Wang, X. Dong, Y. Xie, and N. P. Jouppi, i2WAP: Improving non-volatile cache lifetime by reducing inter-and intra-set write variations, in Proc. IEEE 19th Int. Symp. High Perform. Comput. Archit., 2013, pp. 234-245.
-
(2013)
Proc IEEE 19th Int. Symp. High Perform. Comput. Archit
, pp. 234-245
-
-
Wang, J.1
Dong, X.2
Xie, Y.3
Jouppi, N.P.4
-
37
-
-
77953117822
-
Energyand endurance-aware design of phase change memory caches
-
Y. Joo, D. Niu, X. Dong, G. Sun, N. Chang, and Y. Xie, Energyand endurance-aware design of phase change memory caches, in Proc. Design, Autom. Test Eur. Conf. Exhib., 2010, pp. 136-141.
-
(2010)
Proc. Design, Autom. Test Eur. Conf. Exhib
, pp. 136-141
-
-
Joo, Y.1
Niu, D.2
Dong, X.3
Sun, G.4
Chang, N.5
Xie, Y.6
-
38
-
-
84899486725
-
Avoiding unnecessary write operations in STT-MRAM for low power implementation
-
R. Bishnoi, M. Ebrahimi, F. Oboril, and M. B. Tahoori, Avoiding unnecessary write operations in STT-MRAM for low power implementation, in Proc. 15th Int. Symp. Quality Electron. Des., 2014, pp. 548-553.
-
(2014)
Proc. 15th Int. Symp. Quality Electron. des
, pp. 548-553
-
-
Bishnoi, R.1
Ebrahimi, M.2
Oboril, F.3
Tahoori, M.B.4
-
39
-
-
84903832027
-
Asynchronous asymmetrical write termination (AAWT) for a low power STT-MRAM
-
R. Bishnoi, M. Ebrahimi, F. Oboril, and M. Tahoori, Asynchronous asymmetrical write termination (AAWT) for a low power STT-MRAM, in Proc. Design, Autom. Test Eur. Conf. Exhib., 2014, pp. 1-6.
-
(2014)
Proc. Design, Autom. Test Eur. Conf. Exhib
, pp. 1-6
-
-
Bishnoi, R.1
Ebrahimi, M.2
Oboril, F.3
Tahoori, M.4
-
40
-
-
84858044278
-
Implementing a hybrid SRAM/eDRAM NUCA architecture
-
J. Lira, C. Molina, D. Brooks, and A. Gonzalez, Implementing a hybrid SRAM/eDRAM NUCA architecture, in Proc. IEEE 18th Int. Conf. High Perform. Comput., 2011, pp. 1-10.
-
(2011)
Proc IEEE 18th Int. Conf. High Perform. Comput
, pp. 1-10
-
-
Lira, J.1
Molina, C.2
Brooks, D.3
Gonzalez, A.4
-
41
-
-
76749128041
-
An hybrid eDRAM/SRAM macrocell to implement first-level data caches
-
A. Valero, J. Sahuquillo, S. Petit, V. Lorente, R. Canal, P. Lopez, and J. Duato, An hybrid eDRAM/SRAM macrocell to implement first-level data caches, in Proc. 42nd Annu. IEEE/ACM Int. Symp. Microarchit., 2009, pp. 213-221.
-
(2009)
Proc. 42nd Annu IEEE/ACM Int. Symp. Microarchit
, pp. 213-221
-
-
Valero, A.1
Sahuquillo, J.2
Petit, S.3
Lorente, V.4
Canal, R.5
Lopez, P.6
Duato, J.7
-
42
-
-
84879831521
-
Exploiting reuse information to reduce refresh energy in on-chip eDRAM caches
-
A. Valero, J. Sahuquillo, S. Petit, and J. Duato, Exploiting reuse information to reduce refresh energy in on-chip eDRAM caches, in Proc. 27th Int. ACM Conf. Supercomput., 2013, pp. 491-492.
-
(2013)
Proc. 27th Int ACM Conf. Supercomput
, pp. 491-492
-
-
Valero, A.1
Sahuquillo, J.2
Petit, S.3
Duato, J.4
-
43
-
-
84872069771
-
Analyzing the optimal ratio of SRAM banks in hybrid caches
-
A. Valero, J. Sahuquillo, S. Petit, P. Lopez, and J. Duato, Analyzing the optimal ratio of SRAM banks in hybrid caches, in Proc. IEEE 30th Int. Conf. Comput. Des., 2012, pp. 297-302.
-
(2012)
Proc IEEE 30th Int. Conf. Comput. des
, pp. 297-302
-
-
Valero, A.1
Sahuquillo, J.2
Petit, S.3
Lopez, P.4
Duato, J.5
-
44
-
-
43749114017
-
Memories: Exploiting them and developing them
-
W. R. Reohr, Memories: Exploiting them and developing them, in Proc. IEEE Int. SOC Conf., 2006, pp. 303-310.
-
(2006)
Proc IEEE Int. SOC Conf
, pp. 303-310
-
-
Reohr, W.R.1
-
45
-
-
84902592896
-
-
Iowa State Univ., Ames, IA, USA, Tech. Rep
-
S. Mittal, A Cache reconfiguration approach for saving leakage and refresh energy in embedded DRAM caches, Iowa State Univ., Ames, IA, USA, Tech. Rep., 2013.
-
(2013)
A Cache Reconfiguration Approach for Saving Leakage and Refresh Energy in Embedded DRAM Caches
-
-
Mittal, S.1
-
46
-
-
84885663644
-
Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes
-
V. Lorente, A. Valero, J. Sahuquillo, S. Petit, R. Canal, P. Lopez, and J. Duato, Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes, in Proc. Design, Autom. Test Eur. Conf. Exhib., 2013, pp. 83-88.
-
(2013)
Proc. Design, Autom. Test Eur. Conf. Exhib
, pp. 83-88
-
-
Lorente, V.1
Valero, A.2
Sahuquillo, J.3
Petit, S.4
Canal, R.5
Lopez, P.6
Duato, J.7
-
49
-
-
84863549893
-
Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors
-
L. Jiang, B. Zhao, Y. Zhang, and J. Yang, Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors, in Proc. 49th ACM/EDAC/IEEE Des. Autom. Conf., 2012, pp. 907-912.
-
(2012)
Proc. 49th ACM/EDAC/ IEEE Des. Autom. Conf
, pp. 907-912
-
-
Jiang, L.1
Zhao, B.2
Zhang, Y.3
Yang, J.4
-
50
-
-
84866596192
-
Lower-bits cache for low power STT-RAM caches
-
J. Ahn and K. Choi, Lower-bits cache for low power STT-RAM caches, in Proc. IEEE Int. Symp. Circuits Syst., 2012, pp. 480-483.
-
(2012)
Proc IEEE Int. Symp. Circuits Syst
, pp. 480-483
-
-
Ahn, J.1
Choi, K.2
-
51
-
-
84885645578
-
OAP: An obstruction-aware cache management policy for STT-RAM last-level caches
-
J. Wang, X. Dong, and Y. Xie, OAP: An obstruction-aware cache management policy for STT-RAM last-level caches, in Proc. Design, Autom. Test Eur. Conf. Exhib., 2013, pp. 847-852.
-
(2013)
Proc. Design, Autom. Test Eur. Conf. Exhib
, pp. 847-852
-
-
Wang, J.1
Dong, X.2
Xie, Y.3
-
52
-
-
84872313559
-
Probabilistic design methodology to improve run-time stability and performance of STT-RAM caches
-
X. Bi, Z. Sun, H. Li, and W. Wu, Probabilistic design methodology to improve run-time stability and performance of STT-RAM caches, in Proc. IEEE Int. Conf. Comput.-Aided Des., 2012, pp. 88-94.
-
(2012)
Proc IEEE Int. Conf. Comput.-Aided des
, pp. 88-94
-
-
Bi, X.1
Sun, Z.2
Li, H.3
Wu, W.4
-
53
-
-
84879576398
-
Energyefficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags
-
J. Jung, Y. Nakata, M. Yoshimoto, and H. Kawaguchi, Energyefficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags, in Proc. Int. Symp. Quality Electron. Des., 2013, pp. 216-222.
-
(2013)
Proc. Int. Symp. Quality Electron. des
, pp. 216-222
-
-
Jung, J.1
Nakata, Y.2
Yoshimoto, M.3
Kawaguchi, H.4
-
54
-
-
84877725977
-
Compilerassisted refresh minimization for volatile STT-RAM cache
-
Q. Li, J. Li, L. Shi, C. J. Xue, Y. Chen, and Y. He, Compilerassisted refresh minimization for volatile STT-RAM cache, in Proc. 18th Asia South Pacific Des. Autom. Conf., 2013, pp. 273-278.
-
(2013)
Proc. 18th Asia South Pacific Des. Autom. Conf
, pp. 273-278
-
-
Li, Q.1
Li, J.2
Shi, L.3
Xue, C.J.4
Chen, Y.5
He, Y.6
-
55
-
-
84881181281
-
Prediction table based management policy for STT-RAM and SRAM hybrid cache
-
B. Quan, T. Zhang, T. Chen, and J. Wu, Prediction table based management policy for STT-RAM and SRAM hybrid cache, in Proc. 7th Int. Conf. Comput. Convergence Technol., 2012, pp. 1092-1097.
-
(2012)
Proc. 7th Int. Conf. Comput. Convergence Technol
, pp. 1092-1097
-
-
Quan, B.1
Zhang, T.2
Chen, T.3
Wu, J.4
-
56
-
-
84937635300
-
Coding last level STT-RAM cache for high endurance and low power
-
S. Yazdanshenas, M. Ranjbar, M. Fazeli, and A. Patooghy, Coding last level STT-RAM cache for high endurance and low power, IEEE Comput. Archit. Lett., 2013, http://ieeexplore.ieee. org/xpl/login.jsp?tp=&arnumber=6514022&url=http%3A%2F% 2Fieeexplore.ieee.org%2Fxpls%2Fabs-all.jsp%3Farnumber% 3D6514022
-
(2013)
IEEE Comput. Archit. Lett
-
-
Yazdanshenas, S.1
Ranjbar, M.2
Fazeli, M.3
Patooghy, A.4
-
57
-
-
83755219446
-
STT-RAM based energy-efficiency hybrid cache for CMPs
-
J. Li, C. J. Xue, and Y. Xu, STT-RAM based energy-efficiency hybrid cache for CMPs, in Proc. IEEE/IFIP 19th Int. Conf. VLSI Syst.-on-Chip, 2011, pp. 31-36.
-
(2011)
Proc IEEE/IFIP 19th Int. Conf. VLSI Syst.-on-Chip
, pp. 31-36
-
-
Li, J.1
Xue, C.J.2
Xu, Y.3
-
58
-
-
84865564194
-
Mac: Migration-aware compilation for STT-RAM based hybrid cache in embedded systems
-
Q. Li, J. Li, L. Shi, C. J. Xue, and Y. He, Mac: Migration-aware compilation for STT-RAM based hybrid cache in embedded systems, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2012, pp. 351-356.
-
(2012)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 351-356
-
-
Li, Q.1
Li, J.2
Shi, L.3
Xue, C.J.4
He, Y.5
-
59
-
-
84866340444
-
Compiler-assisted preferred caching for embedded systems with STT-RAM based hybrid cache
-
Q. Li, M. Zhao, C. J. Xue, and Y. He, Compiler-assisted preferred caching for embedded systems with STT-RAM based hybrid cache, ACM SIGPLAN Notices, vol. 47, no. 5, pp. 109-118, 2012.
-
(2012)
ACM SIGPLAN Notices
, vol.47
, Issue.5
, pp. 109-118
-
-
Li, Q.1
Zhao, M.2
Xue, C.J.3
He, Y.4
-
60
-
-
84863355068
-
Multi retention level STT-RAM cache designs with a dynamic refresh scheme
-
Z. Sun, X. Bi, H. H. Li, W.-F. Wong, Z.-L. Ong, X. Zhu, and W. Wu, Multi retention level STT-RAM cache designs with a dynamic refresh scheme, in Proc. 44th Annu. IEEE/ACM Int. Symp. Microarchit., 2011, pp. 329-338.
-
(2011)
Proc. 44th Annu IEEE/ACM Int. Symp. Microarchit
, pp. 329-338
-
-
Sun, Z.1
Bi, X.2
Li, H.H.3
Wong, W.-F.4
Ong, Z.-L.5
Zhu, X.6
Wu, W.7
-
61
-
-
64949106457
-
A novel architecture of the 3D stacked MRAM L2 cache for CMPs
-
G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen, A novel architecture of the 3D stacked MRAM L2 cache for CMPs, in Proc. IEEE Int. Symp. High Perform. Comput. Archit., 2009, pp.239-249.
-
(2009)
Proc IEEE Int. Symp. High Perform. Comput. Archit
, pp. 239-249
-
-
Sun, G.1
Dong, X.2
Xie, Y.3
Li, J.4
Chen, Y.5
-
62
-
-
79957751556
-
Design techniques to improve the device write margin for MRAM-based cache memory
-
H. Sun, C. Liu, N. Zheng, T. Min, and T. Zhang, Design techniques to improve the device write margin for MRAM-based cache memory, in Proc. ACM Int. Conf. Great Lakes Symp. VLSI, 2011, pp. 97-102.
-
(2011)
Proc ACM Int. Conf. Great Lakes Symp. VLSI
, pp. 97-102
-
-
Sun, H.1
Liu, C.2
Zheng, N.3
Min, T.4
Zhang, T.5
-
63
-
-
84867835902
-
Analysis and optimization of thermal effect on STT-RAM Based 3-D stacked cache design
-
X. Bi, H. Li, and J.-J. Kim, Analysis and optimization of thermal effect on STT-RAM Based 3-D stacked cache design, in Proc. IEEE Comput. Soc. Annu. Symp. VLSI, 2012, pp. 374-379.
-
(2012)
Proc IEEE Comput. Soc. Annu. Symp. VLSI
, pp. 374-379
-
-
Bi, X.1
Li, H.2
Kim, J.-J.3
-
64
-
-
84891142395
-
A hybrid PRAM and STT-RAM cache architecture for extending the lifetime of PRAM caches
-
Jul./Dec.
-
Y. Joo and S. Park, A hybrid PRAM and STT-RAM cache architecture for extending the lifetime of PRAM caches, Comput. Archit. Lett., vol. 12, no. 2, pp. 55-58, Jul./Dec. 2013.
-
(2013)
Comput. Archit. Lett
, vol.12
, Issue.2
, pp. 55-58
-
-
Joo, Y.1
Park, S.2
-
65
-
-
84873945361
-
Performance and energy-efficiency analysis of hybrid cache memory based on SRAM-MRAM
-
B.-M. Lee and G.-H. Park, Performance and energy-efficiency analysis of hybrid cache memory based on SRAM-MRAM, in Proc. Int. SoC Des. Conf., 2012, pp. 247-250.
-
(2012)
Proc. Int. SoC Des. Conf
, pp. 247-250
-
-
Lee, B.-M.1
Park, G.-H.2
-
66
-
-
84878168739
-
Coordinating prefetching and STT-RAM based last-level cache management for multicore systems
-
M. Mao, H. L. Li, A. K. Jones, and Y. Chen, Coordinating prefetching and STT-RAM based last-level cache management for multicore systems, in Proc. ACM Int. Conf. Great Lakes Symp. VLSI, 2013, pp. 55-60.
-
(2013)
Proc ACM Int. Conf. Great Lakes Symp. VLSI
, pp. 55-60
-
-
Mao, M.1
Li, H.L.2
Jones, A.K.3
Chen, Y.4
-
67
-
-
84867781619
-
Cross-layer techniques for optimizing systems utilizing memories with asymmetric access characteristics
-
Y. Li and A. K. Jones, Cross-layer techniques for optimizing systems utilizing memories with asymmetric access characteristics, in Proc. IEEE Comput. Soc. Annu. Symp. VLSI, 2012, pp. 404-409.
-
(2012)
Proc IEEE Comput. Soc. Annu. Symp. VLSI
, pp. 404-409
-
-
Li, Y.1
Jones, A.K.2
-
68
-
-
83655201599
-
Using magnetic RAM to build low-power and soft error-resilient L1 cache
-
Jan.
-
H. Sun, C. Liu, W. Xu, J. Zhao, N. Zheng, and T. Zhang, Using magnetic RAM to build low-power and soft error-resilient L1 cache, IEEE Trans. Very Large Scale Integr. Syst., vol. 20, no. 1, pp. 19-28, Jan. 2012.
-
(2012)
IEEE Trans. Very Large Scale Integr. Syst
, vol.20
, Issue.1
, pp. 19-28
-
-
Sun, H.1
Liu, C.2
Xu, W.3
Zhao, J.4
Zheng, N.5
Zhang, T.6
-
69
-
-
84865571776
-
Static and dynamic co-optimizations for blocks mapping in hybrid caches
-
Y.-T. Chen, J. Cong, H. Huang, C. Liu, R. Prabhakar, and G. Reinman, Static and dynamic co-optimizations for blocks mapping in hybrid caches, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2012.
-
(2012)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
-
-
Chen, Y.-T.1
Cong, J.2
Huang, H.3
Liu, C.4
Prabhakar, R.5
Reinman, G.6
-
70
-
-
83455219881
-
Exploring the vulnerability of CMPs to soft errors with 3D stacked non-volatile memory
-
G. Sun, E. Kursun, J. A. Rivers, and Y. Xie, Exploring the vulnerability of CMPs to soft errors with 3D stacked non-volatile memory, in Proc. IEEE 29th Int. Conf. Comput. Des., 2011, pp. 366-372.
-
(2011)
Proc IEEE 29th Int. Conf. Comput. des
, pp. 366-372
-
-
Sun, G.1
Kursun, E.2
Rivers, J.A.3
Xie, Y.4
-
71
-
-
84905642661
-
STTRAM scaling and retention failure
-
H. Naeimi, C. Augustine, A. Raychowdhury, S.-L. Lu, and J. Tschanz, STTRAM scaling and retention failure, Intel Technol. J., vol. 17, no. 1, p. 54, 2013.
-
(2013)
Intel Technol. J
, vol.17
, Issue.1
, pp. 54
-
-
Naeimi, H.1
Augustine, C.2
Raychowdhury, A.3
Lu, S.-L.4
Tschanz, J.5
-
72
-
-
84885621749
-
Cache coherence enabled adaptive refresh for volatile STT-RAM
-
J. Li, L. Shi, Q. Li, C. J. Xue, Y. Chen, and Y. Xu, Cache coherence enabled adaptive refresh for volatile STT-RAM, in Proc. Des., Autom. Test Eur. Conf. Exhib., 2013, pp. 1247-1250.
-
(2013)
Proc. Des., Autom. Test Eur. Conf. Exhib
, pp. 1247-1250
-
-
Li, J.1
Shi, L.2
Li, Q.3
Xue, C.J.4
Chen, Y.5
Xu, Y.6
-
73
-
-
84877766666
-
Selectively protecting error-correcting code for area-efficient and reliable STT-RAM caches
-
J. Ahn, S. Yoo, and K. Choi, Selectively protecting error-correcting code for area-efficient and reliable STT-RAM caches, in Proc. Asia South Pacific Des. Autom. Conf., 2013, pp. 285-290.
-
(2013)
Proc. Asia South Pacific Des. Autom. Conf
, pp. 285-290
-
-
Ahn, J.1
Yoo, S.2
Choi, K.3
-
74
-
-
84865539126
-
Process variation aware data management for STT-RAM cache design
-
Z. Sun, X. Bi, and H. Li, Process variation aware data management for STT-RAM cache design, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2012, pp. 179-184.
-
(2012)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 179-184
-
-
Sun, Z.1
Bi, X.2
Li, H.3
-
75
-
-
84878176272
-
Asymmetric-access aware optimization for STT-RAM caches with process variations
-
Y. Zhou, C. Zhang, G. Sun, K. Wang, and Y. Zhang, Asymmetric-access aware optimization for STT-RAM caches with process variations, in Proc. ACM Int. Conf. Great Lakes Symp. VLSI, 2013, pp. 143-148.
-
(2013)
Proc ACM Int. Conf. Great Lakes Symp. VLSI
, pp. 143-148
-
-
Zhou, Y.1
Zhang, C.2
Sun, G.3
Wang, K.4
Zhang, Y.5
-
76
-
-
84866611037
-
Hybrid cache architecture replacing SRAM cache with future memory technology
-
S. Lee, J. Jung, and C.-M. Kyung, Hybrid cache architecture replacing SRAM cache with future memory technology, in Proc. IEEE Int. Symp. Circuits Syst., 2012, pp. 2481-2484.
-
(2012)
Proc IEEE Int. Symp. Circuits Syst
, pp. 2481-2484
-
-
Lee, S.1
Jung, J.2
Kyung, C.-M.3
-
77
-
-
80052066953
-
Exploiting heterogeneity for energy efficiency in chip multiprocessors
-
Jun.
-
V. Saripalli, G. Sun, A. Mishra, Y. Xie, S. Datta, and V. Narayanan, Exploiting heterogeneity for energy efficiency in chip multiprocessors, IEEE J. Emerging Select. Topics Circuits Syst., vol. 1, no. 2, pp. 109-119, Jun. 2011.
-
(2011)
IEEE J. Emerging Select. Topics Circuits Syst
, vol.1
, Issue.2
, pp. 109-119
-
-
Saripalli, V.1
Sun, G.2
Mishra, A.3
Xie, Y.4
Datta, S.5
Narayanan, V.6
-
78
-
-
80053507749
-
Performance, power, and reliability tradeoffs of STTRAM cell subject to architecture-level requirement
-
Oct.
-
H. Li, X. Wang, Z.-L. Ong, W.-F. Wong, Y. Zhang, P. Wang, and Y. Chen, Performance, power, and reliability tradeoffs of STTRAM cell subject to architecture-level requirement, IEEE Trans. Magn., vol. 47, no. 10, pp. 2356-2359, Oct. 2011.
-
(2011)
IEEE Trans. Magn
, vol.47
, Issue.10
, pp. 2356-2359
-
-
Li, H.1
Wang, X.2
Ong, Z.-L.3
Wong, W.-F.4
Zhang, Y.5
Wang, P.6
Chen, Y.7
-
79
-
-
84885659072
-
On-chip caches built on multilevel spin-transfer torque RAM cells and its optimizations
-
Y. Chen, W.-F. Wong, H. Li, C.-K. Koh, Y. Zhang, and W. Wen, On-chip caches built on multilevel spin-transfer torque RAM cells and its optimizations, J. Emerg. Technol. Comput. Syst., vol. 9, no. 2, pp. 16:1-16:22, 2013.
-
(2013)
J. Emerg. Technol. Comput. Syst
, vol.9
, Issue.2
, pp. 161-1622
-
-
Chen, Y.1
Wong, W.-F.2
Li, H.3
Koh, C.-K.4
Zhang, Y.5
Wen, W.6
-
80
-
-
76349088483
-
Energy reduction for STT-RAM using early write termination
-
P. Zhou, B. Zhao, J. Yang, and Y. Zhang, Energy reduction for STT-RAM using early write termination, in Proc. IEEE Int. Conf. Comput.-Aided Des., 2009, pp. 264-268.
-
(2009)
Proc IEEE Int. Conf. Comput.-Aided des
, pp. 264-268
-
-
Zhou, P.1
Zhao, B.2
Yang, J.3
Zhang, Y.4
-
81
-
-
84863554409
-
Future cache design using STT MRAMs for improved energy efficiency: Devices, circuits and architecture
-
S. P. Park, S. Gupta, N. Mojumder, A. Raghunathan, and K. Roy, Future cache design using STT MRAMs for improved energy efficiency: Devices, circuits and architecture, in Proc. 49th Des. Autom. Conf., 2012, pp. 492-497.
-
(2012)
Proc. 49th Des. Autom. Conf
, pp. 492-497
-
-
Park, S.P.1
Gupta, S.2
Mojumder, N.3
Raghunathan, A.4
Roy, K.5
-
82
-
-
80052715494
-
High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement
-
A. Jadidi, M. Arjomand, and H. Sarbazi-Azad, High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2011, pp. 79-84.
-
(2011)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 79-84
-
-
Jadidi, A.1
Arjomand, M.2
Sarbazi-Azad, H.3
-
83
-
-
84883421146
-
Embedded memory hierarchy exploration based on magnetic RAM
-
L. V. Cargnini, L. Torres, R. M. Brum, S. Senni, and G. Sassatelli, Embedded memory hierarchy exploration based on magnetic RAM, in Proc. IEEE Faible Tension Faible Consommation, 2013, pp. 1-4.
-
(2013)
Proc IEEE Faible Tension Faible Consommation
, pp. 1-4
-
-
Cargnini, L.V.1
Torres, L.2
Brum, R.M.3
Senni, S.4
Sassatelli, G.5
-
85
-
-
84865536839
-
Design space exploration of workload-specific last-level caches
-
K. Swaminathan, E. Kultursay, V. Saripalli, V. Narayanan, and M. Kandemir, Design space exploration of workload-specific last-level caches, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2012, pp. 243-248.
-
(2012)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 243-248
-
-
Swaminathan, K.1
Kultursay, E.2
Saripalli, V.3
Narayanan, V.4
Kandemir, M.5
-
86
-
-
84908162159
-
Using cache-coloring to mitigate inter-set write variation in non-volatile caches
-
S. Mittal, Using cache-coloring to mitigate inter-set write variation in non-volatile caches, Iowa State Univ., Ames, IA, USA, Tech. Rep., 2013.
-
(2013)
Iowa State Univ., Ames, IA, USA, Tech. Rep
-
-
Mittal, S.1
-
87
-
-
84899954607
-
LASIC: Loop-aware sleepy instruction caches based on STT-RAM technology
-
May
-
J. Ahn and K. Choi, LASIC: Loop-aware sleepy instruction caches based on STT-RAM technology, IEEE Trans. Very Large Scale Integr. Syst., vol. 22, no. 5, pp. 1197-1201, May 2014.
-
(2014)
IEEE Trans. Very Large Scale Integr. Syst
, vol.22
, Issue.5
, pp. 1197-1201
-
-
Ahn, J.1
Choi, K.2
-
88
-
-
84897381466
-
AWARE (Asymmetric Write Architecture with REdundant blocks): A High Write Speed STT-MRAM Cache Architecture
-
Apr.
-
K.-W. Kwon, S. H. Choday, Y. Kim, and K. Roy, AWARE (Asymmetric Write Architecture with REdundant blocks): A High Write Speed STT-MRAM Cache Architecture, IEEE Trans. Very Large Scale Integr. Syst., vol. 22, no. 4, pp. 712-720, Apr. 2014.
-
(2014)
IEEE Trans. Very Large Scale Integr. Syst
, vol.22
, Issue.4
, pp. 712-720
-
-
Kwon, K.-W.1
Choday, S.H.2
Kim, Y.3
Roy, K.4
-
89
-
-
84889603007
-
Write intensity prediction for energy-efficient non-volatile caches
-
J. Ahn, S. Yoo, and K. Choi, Write intensity prediction for energy-efficient non-volatile caches, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2013, pp. 223-228.
-
(2013)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 223-228
-
-
Ahn, J.1
Yoo, S.2
Choi, K.3
-
90
-
-
84865531365
-
A dual-mode architecture for fastswitching STT-RAM
-
Z. Sun, H. Li, and W. Wu, A dual-mode architecture for fastswitching STT-RAM, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2012, pp. 45-50.
-
(2012)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 45-50
-
-
Sun, Z.1
Li, H.2
Wu, W.3
-
91
-
-
84885665745
-
DWMTAPESTRI-an energy efficient all-spin cache using domain wall shift based writes
-
R. Venkatesan, M. Sharad, K. Roy, and A. Raghunathan, DWMTAPESTRI-an energy efficient all-spin cache using domain wall shift based writes, in Proc. Des., Autom. Test Eur. Conf. Exhib., 2013, pp. 1825-1830.
-
(2013)
Proc. Des., Autom. Test Eur. Conf. Exhib
, pp. 1825-1830
-
-
Venkatesan, R.1
Sharad, M.2
Roy, K.3
Raghunathan, A.4
-
92
-
-
84880269380
-
Power-performance co-optimization of throughput core architecture using resistive memory
-
N. Goswami, B. Cao, and T. Li, Power-performance co-optimization of throughput core architecture using resistive memory, in Proc. IEEE Int. Symp. High Perform. Comput. Archit., 2013, pp. 342-353.
-
(2013)
Proc IEEE Int. Symp. High Perform. Comput. Archit
, pp. 342-353
-
-
Goswami, N.1
Cao, B.2
Li, T.3
-
93
-
-
84892470361
-
C1C: A configurable, compiler-guided STT-RAM L1 cache
-
Y. Li, Y. Zhang, H. Li, Y. Chen, and A. K. Jones, C1C: A configurable, compiler-guided STT-RAM L1 cache, ACM Trans. Archit. Code Optimization, vol. 10, no. 4, pp. 52:1-52:22, 2013.
-
(2013)
ACM Trans. Archit. Code Optimization
, vol.10
, Issue.4
, pp. 521-5222
-
-
Li, Y.1
Zhang, Y.2
Li, H.3
Chen, Y.4
Jones, A.K.5
-
94
-
-
84872285369
-
Optimizing bandwidth and power of graphics memory with hybrid memory technologies and adaptive data migration
-
J. Zhao and Y. Xie, Optimizing bandwidth and power of graphics memory with hybrid memory technologies and adaptive data migration, in Proc. IEEE Int. Conf. Comput.-Aided Des., 2012, pp. 81-87.
-
(2012)
Proc IEEE Int. Conf. Comput.-Aided des
, pp. 81-87
-
-
Zhao, J.1
Xie, Y.2
-
95
-
-
84892499952
-
Low-current probabilistic writes for power-efficient STT-RAM caches
-
N. Strikos, V. Kontorinis, X. Dong, H. Homayoun, and D. Tullsen, Low-current probabilistic writes for power-efficient STT-RAM caches, in Proc. IEEE 31st Int. Conf. Comput. Des., 2013, pp. 511-514.
-
(2013)
Proc IEEE 31st Int. Conf. Comput. des
, pp. 511-514
-
-
Strikos, N.1
Kontorinis, V.2
Dong, X.3
Homayoun, H.4
Tullsen, D.5
-
97
-
-
84881463331
-
A circuit-architecture co-optimization framework for evaluating emerging memory hierarchies
-
X. Dong, N. P. Jouppi, and Y. Xie, A circuit-architecture co-optimization framework for evaluating emerging memory hierarchies, in Proc. IEEE Int. Symp. Perform. Anal. Syst. Softw., 2013, pp. 140-141.
-
(2013)
Proc IEEE Int. Symp. Perform. Anal. Syst. Softw
, pp. 140-141
-
-
Dong, X.1
Jouppi, N.P.2
Xie, Y.3
-
99
-
-
56749145921
-
A low-power phase change memory based hybrid cache architecture
-
P. Mangalagiri, K. Sarpatwari, A. Yanamandra, V. Narayanan, Y. Xie, M. J. Irwin, and O. A. Karim, A low-power phase change memory based hybrid cache architecture, in Proc. ACM Int. Conf. Great Lakes Symp. VLSI, 2008, pp. 395-398.
-
(2008)
Proc. ACM Int. Conf. Great Lakes Symp. VLSI
, pp. 395-398
-
-
Mangalagiri, P.1
Sarpatwari, K.2
Yanamandra, A.3
Narayanan, V.4
Xie, Y.5
Irwin, M.J.6
Karim, O.A.7
-
100
-
-
84868100864
-
Wear-resistant hybrid cache architecture with phase change memory
-
S. Guo, Z. Liu, D. Wang, H. Wang, and G. Li, Wear-resistant hybrid cache architecture with phase change memory, in Proc. IEEE 7th Int. Conf. Netw., Archit. Storage, 2012, pp. 268-272.
-
(2012)
Proc. IEEE 7th Int. Conf. Netw., Archit. Storage
, pp. 268-272
-
-
Guo, S.1
Liu, Z.2
Wang, D.3
Wang, H.4
Li, G.5
-
101
-
-
84889610679
-
Multilevel magnetic RAM using domain wall shift for energy-efficient, high-density caches
-
M. Sharad, R. Venkatesan, A. Raghunathan, and K. Roy, Multilevel magnetic RAM using domain wall shift for energy-efficient, high-density caches, in Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., 2013, pp. 64-69.
-
(2013)
Proc. ACM/ IEEE Int. Symp. Low Power Electron. des
, pp. 64-69
-
-
Sharad, M.1
Venkatesan, R.2
Raghunathan, A.3
Roy, K.4
-
102
-
-
84879870688
-
Cross-layer racetrack memory design for ultra high density and low power consumption
-
Z. Sun, W. Wu, and H. Li, Cross-layer racetrack memory design for ultra high density and low power consumption, in Proc. 45th Des. Autom. Conf., 2013, pp. 1-6.
-
(2013)
Proc. 45th Des. Autom. Conf
, pp. 1-6
-
-
Sun, Z.1
Wu, W.2
Li, H.3
-
103
-
-
83755163091
-
Exploiting set-level write non-uniformity for energy-efficient NVM-based hybrid cache
-
J. Li, L. Shi, C. J. Xue, C. Yang, and Y. Xu, Exploiting set-level write non-uniformity for energy-efficient NVM-based hybrid cache, in Proc. IEEE 9th Symp. Embedded Syst. Real-Time Multimedia, 2011, pp. 19-28.
-
(2011)
Proc IEEE 9th Symp. Embedded Syst. Real-Time Multimedia
, pp. 19-28
-
-
Li, J.1
Shi, L.2
Xue, C.J.3
Yang, C.4
Xu, Y.5
-
104
-
-
84885576661
-
D-MRAM cache: Enhancing energy efficiency with 3T-1MTJ DRAM/MRAM hybrid memory
-
H. Noguchi, K. Nomura, K. Abe, S. Fujita, E. Arima, K. Kim, T. Nakada, S. Miwa, and H. Nakamura, D-MRAM cache: enhancing energy efficiency with 3T-1MTJ DRAM/MRAM hybrid memory, in Proc. Des., Autom. Test Eur. Conf. Exhib., 2013, pp. 1813-1818.
-
(2013)
Proc. Des., Autom. Test Eur. Conf. Exhib
, pp. 1813-1818
-
-
Noguchi, H.1
Nomura, K.2
Abe, K.3
Fujita, S.4
Arima, E.5
Kim, K.6
Nakada, T.7
Miwa, S.8
Nakamura, H.9
-
105
-
-
77951019767
-
3D GPU architecture using cache stacking: Performance, cost, power and thermal analysis
-
A. Al Maashri, G. Sun, X. Dong, V. Narayanan, and Y. Xie, 3D GPU architecture using cache stacking: Performance, cost, power and thermal analysis, in Proc. IEEE Int. Conf. Comput. Des., 2009, pp. 254-259.
-
(2009)
Proc IEEE Int. Conf. Comput. des
, pp. 254-259
-
-
Al Maashri, A.1
Sun, G.2
Dong, X.3
Narayanan, V.4
Xie, Y.5
-
106
-
-
84880282123
-
-
Ph.D. dissertation Faculty School Eng. Appl. Sci., Univ. Virginia, Charlottesville, VA, USA
-
P. Satyamoorthy, STT-RAM for shared memory in GPUs, Ph.D. dissertation, Faculty School Eng. Appl. Sci., Univ. Virginia, Charlottesville, VA, USA, 2011.
-
(2011)
STT-RAM for Shared Memory in GPUs
-
-
Satyamoorthy, P.1
-
107
-
-
77954994037
-
Resistive computation: Avoiding the power wall with low-leakage, STT-MRAM based computing
-
X. Guo, E. Ipek, and T. Soyata, Resistive computation: Avoiding the power wall with low-leakage, STT-MRAM based computing, in Proc. 37th Annu. Int. Symp. Comput. Archit., 2010, pp. 371-382.
-
(2010)
Proc. 37th Annu. Int. Symp. Comput. Archit
, pp. 371-382
-
-
Guo, X.1
Ipek, E.2
Soyata, T.3
-
108
-
-
84893374250
-
Unleashing the potential of MLC STT-RAM caches
-
X. Bi, M. Mao, D. Wang, and H. Li, Unleashing the potential of MLC STT-RAM caches, in Proc. IEEE Int. Conf. Comput.-Aided Des., 2013, pp. 429-436.
-
(2013)
Proc IEEE Int. Conf. Comput.-Aided des
, pp. 429-436
-
-
Bi, X.1
Mao, M.2
Wang, D.3
Li, H.4
-
109
-
-
84885619728
-
Future memory and interconnect technologies
-
Y. Xie, Future memory and interconnect technologies, in Proc. Des., Autom. Test Eur. Conf. Exhib., 2013, pp. 964-969.
-
(2013)
Proc. Des., Autom. Test Eur. Conf. Exhib
, pp. 964-969
-
-
Xie, Y.1
-
110
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
S. Kaxiras, Z. Hu, and M. Martonosi, Cache decay: Exploiting generational behavior to reduce cache leakage power, in Proc. 28th Annu. Int. Symp. Comput. Archit., 2001, pp. 240-251.
-
(2001)
Proc. 28th Annu. Int. Symp. Comput. Archit
, pp. 240-251
-
-
Kaxiras, S.1
Hu, Z.2
Martonosi, M.3
-
111
-
-
84892550871
-
FlexiWay: A cache energy saving technique using fine-grained cache reconfiguration
-
S. Mittal, Z. Zhang, and J. S. Vetter, FlexiWay: A cache energy saving technique using fine-grained cache reconfiguration, in Proc. 31st Int. Conf. Comput. Des., 2013, pp. 100-107.
-
(2013)
Proc. 31st Int. Conf. Comput. des
, pp. 100-107
-
-
Mittal, S.1
Zhang, Z.2
Vetter, J.S.3
-
113
-
-
80052550981
-
Moguls: A model to explore the memory hierarchy for bandwidth improvements
-
G. Sun, C. Hughes, C. Kim, J. Zhao, C. Xu, Y. Xie, and Y.-K. Chen, Moguls: A model to explore the memory hierarchy for bandwidth improvements, in Proc. 38th Annu. Int. Symp. Comput. Archit., 2011, pp. 377-388.
-
(2011)
Proc. 38th Annu. Int. Symp. Comput. Archit
, pp. 377-388
-
-
Sun, G.1
Hughes, C.2
Kim, C.3
Zhao, J.4
Xu, C.5
Xie, Y.6
Chen, Y.-K.7
|