-
1
-
-
84910152180
-
-
Retrieved July 22, 2014, from
-
A. Adileh, C. Kaynak, P. Lotfi-Kamran, and S. Volos. 2012. CloudSuite on Flexus. Retrieved July 22, 2014, from http://parsa.epfl.ch/simflex/doc/CloudSuite-on-Flexus-isca12.pdf.
-
(2012)
CloudSuite on Flexus
-
-
Adileh, A.1
Kaynak, C.2
Lotfi-Kamran, P.3
Volos, S.4
-
3
-
-
84903849161
-
-
Retrieved July 22, 2014, from
-
B. Beckmann, N. Binkert, A. Saidi, J. Hestness, G. Black, K. Sewell, and D. Hower. 2011. The gem5 Simulator. Retrieved July 22, 2014, from http://www.gem5.org/dist/tutorials/isca-pres-2011.pdf.
-
(2011)
The Gem5 Simulator
-
-
Beckmann, B.1
Binkert, N.2
Saidi, A.3
Hestness, J.4
Black, G.5
Sewell, K.6
Hower, D.7
-
4
-
-
84859464490
-
The gem5 simulator
-
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood. 2011. The gem5 simulator. SIGARCH Computer Architecture News 39, 2, 1-7.
-
(2011)
SIGARCH Computer Architecture News
, vol.39
, Issue.2
, pp. 1-7
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
5
-
-
33846535493
-
The M5 simulator: Modeling networked systems
-
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. 2006. The M5 simulator: Modeling networked systems. IEEE Micro 26, 52-60.
-
(2006)
IEEE Micro
, vol.26
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
7
-
-
83155173614
-
Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulations
-
T. E. Carlson, W. Heirman, and L. Eeckhout. 2011. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulations. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC). 52:1-52:12.
-
(2011)
Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC)
, pp. 52:1-52:12
-
-
Carlson, T.E.1
Heirman, W.2
Eeckhout, L.3
-
9
-
-
79951711577
-
Adaptive and speculative slack simulations of CMPs on CMPs
-
J. Chen, L. K. Dabbiru, D. Wong, M. Annavaram, and M. Dubois. 2010. Adaptive and speculative slack simulations of CMPs on CMPs. In Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 523-534.
-
(2010)
Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 523-534
-
-
Chen, J.1
Dabbiru, L.K.2
Wong, D.3
Annavaram, M.4
Dubois, M.5
-
10
-
-
80455132324
-
Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs
-
X. E. Chen and T. M. Aamodt. 2011. Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs. ACM Transactions on Architecture and Code Optimization 8, 3, 10:1-10:28.
-
(2011)
ACM Transactions on Architecture and Code Optimization
, vol.8
, Issue.3
, pp. 10:1-10:28
-
-
Chen, X.E.1
Aamodt, T.M.2
-
11
-
-
47349112481
-
FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators
-
D. Chiou, D. Sunwoo, J. Kim, N. A. Patil, W. Reinhart, D. E. Johnson, J. Keefe, and H. Angepat. 2007. FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 249-261.
-
(2007)
Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 249-261
-
-
Chiou, D.1
Sunwoo, D.2
Kim, J.3
Patil, N.A.4
Reinhart, W.5
Johnson, D.E.6
Keefe, J.7
Angepat, H.8
-
13
-
-
70349311122
-
A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs
-
E. S. Chung, E. Nurvitadhi, J. C. Hoe, B. Falsafi, and K. Mai. 2008. A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. In Proceedings of the 16th International ACM/SIGDA Symposium on Field Programmable Gate Arrays (FPGA). 77-86.
-
(2008)
Proceedings of the 16th International ACM/SIGDA Symposium on Field Programmable Gate Arrays (FPGA)
, pp. 77-86
-
-
Chung, E.S.1
Nurvitadhi, E.2
Hoe, J.C.3
Falsafi, B.4
Mai, K.5
-
14
-
-
4644258856
-
Control flow modeling in statistical simulation for accurate and efficient processor design studies
-
L. Eeckhout, R. H. Bell Jr, B. Stougie, K. De Bosschere, and L. K. John. 2004. Control flow modeling in statistical simulation for accurate and efficient processor design studies. In Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA). 350-361.
-
(2004)
Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA)
, pp. 350-361
-
-
Eeckhout, L.1
Bell, R.H.2
Stougie, B.3
De Bosschere, K.4
John, L.K.5
-
15
-
-
0242577987
-
Statistical simulation: Adding efficiency to the computer designer's toolbox
-
L. Eeckhout, S. Nussbaum, J. E. Smith, and K. De Bosschere. 2003. Statistical simulation: Adding efficiency to the computer designer's toolbox. IEEE Micro 23, 5, 26-38.
-
(2003)
IEEE Micro
, vol.23
, Issue.5
, pp. 26-38
-
-
Eeckhout, L.1
Nussbaum, S.2
Smith, J.E.3
De Bosschere, K.4
-
16
-
-
0036470119
-
Asim: A performance model framework
-
J. Emer, P. Ahuja, E. Borch, A. Klauser, C.-K. Luk, S. Manne, S. Mukherjee, H. Patil, S. Wallace, N. Binkert, R. Espasa, and T. Juan. 2002. Asim: A performance model framework. Computer 35, 2, 68-76.
-
(2002)
Computer
, vol.35
, Issue.2
, pp. 68-76
-
-
Emer, J.1
Ahuja, P.2
Borch, E.3
Klauser, A.4
Luk, C.-K.5
Manne, S.6
Mukherjee, S.7
Patil, H.8
Wallace, S.9
Binkert, N.10
Espasa, R.11
Juan, T.12
-
18
-
-
0031140923
-
Understanding some simple processor-performance limits
-
P. G. Emma. 1997. Understanding some simple processor-performance limits. IBM Journal of Research and Development 41, 3, 215-232.
-
(1997)
IBM Journal of Research and Development
, vol.41
, Issue.3
, pp. 215-232
-
-
Emma, P.G.1
-
20
-
-
67650312346
-
A mechanistic performance model for superscalar out-of-order processors
-
S. Eyerman, L. Eeckhout, T. Karkhanis, and J. E. Smith. 2009. A mechanistic performance model for superscalar out-of-order processors. ACM Transactions on Computer Systems 27, 2, 42-53.
-
(2009)
ACM Transactions on Computer Systems
, vol.27
, Issue.2
, pp. 42-53
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.E.4
-
23
-
-
84910131558
-
-
Retrieved July 22, 2014, from
-
K. Ghose, A. Patel, F. Afram, H. Zheng, and J. Tringali. 2012. MARSS: Micro Architectural Systems Simulator. Retrieved July 22, 2014, from http://cloud.github.com/downloads/avadhpatel/marss/Marss-ISCA-2012-tutorial.pdf.
-
(2012)
MARSS: Micro Architectural Systems Simulator
-
-
Ghose, K.1
Patel, A.2
Afram, F.3
Zheng, H.4
Tringali, J.5
-
25
-
-
84881300403
-
big.LITTLE Processing with ARM Cortex-A15 & Cortex-A7
-
P. Greenhalgh. 2011. big.LITTLE Processing with ARM Cortex-A15 & Cortex-A7. ARM white paper.
-
(2011)
ARM White Paper
-
-
Greenhalgh, P.1
-
26
-
-
27544466004
-
SimFlex: A fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture
-
N. Hardavellas, S. Somogyi, T. F. Wenisch, R. E. Wunderlich, S. Chen, J. Kim, B. Falsafi, J. C.Hoe, and A. G. Nowatzyk. 2004. SimFlex: A fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture. SIGMETRICS Performance Evaluation Review 31, 4, 31-34.
-
(2004)
SIGMETRICS Performance Evaluation Review
, vol.31
, Issue.4
, pp. 31-34
-
-
Hardavellas, N.1
Somogyi, S.2
Wenisch, T.F.3
Wunderlich, R.E.4
Chen, S.5
Kim, J.6
Falsafi, B.7
Hoe, J.C.8
Nowatzyk, A.G.9
-
27
-
-
77949710964
-
CMP$im: A pin-based on-the-fly multi-core cache simulator
-
A. Jaleel, R. S. Cohn, C.-K. Luk, and B. Jacob. 2008. CMP$im: A pin-based on-the-fly multi-core cache simulator. In Proceedings of the 4th Annual Workshop on Modeling, Benchmarking and Simulation (MoBS), co-located with ISCA 2008. 28-36.
-
(2008)
Proceedings of the 4th Annual Workshop on Modeling, Benchmarking and Simulation (MoBS), Co-located with ISCA 2008
, pp. 28-36
-
-
Jaleel, A.1
Cohn, R.S.2
Luk, C.-K.3
Jacob, B.4
-
29
-
-
48149107527
-
RAMP Blue: A message-passing manycore system in FPGAs
-
A. Krasnov, A. Schultz, J. Wawrzynek, G. Gibeling, and P.-Y. Droz. 2007. RAMP Blue: A message-passing manycore system in FPGAs. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL). 54-61.
-
(2007)
Proceedings of the International Conference on Field Programmable Logic and Applications (FPL)
, pp. 54-61
-
-
Krasnov, A.1
Schultz, A.2
Wawrzynek, J.3
Gibeling, G.4
Droz, P.-Y.5
-
30
-
-
0000861722
-
A proof for the queuing formula: L = λW
-
J. D. Little. 1961. A proof for the queuing formula: L = λW. Operations Research 9, 3, 383-387.
-
(1961)
Operations Research
, vol.9
, Issue.3
, pp. 383-387
-
-
Little, J.D.1
-
32
-
-
33745304805
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
C.-K. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser, G. Lowney, S.Wallace, V. J. Reddi, and K. Hazelwood. 2005. Pin: Building customized program analysis tools with dynamic instrumentation. In Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI). 190-200.
-
(2005)
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)
, pp. 190-200
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
Wallace, S.7
Reddi, V.J.8
Hazelwood, K.9
-
33
-
-
77952563226
-
Graphite: A distributed parallel simulator for multicores
-
J. E. Miller, H. Kasture, G. Kurian, C. Gruenwald III, N. Beckmann, C. Celio, J. Eastep, and A. Agarwal. 2010. Graphite: A distributed parallel simulator for multicores. In Proceedings of the 16th IEEE International Symposium on High-Performance Computer Architecture (HPCA). 1-12.
-
(2010)
Proceedings of the 16th IEEE International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 1-12
-
-
Miller, J.E.1
Kasture, H.2
Kurian, G.3
Gruenwald, C.4
Beckmann, N.5
Celio, C.6
Eastep, J.7
Agarwal, A.8
-
34
-
-
0034290427
-
Wisconsin wind tunnel II: A fast, portable parallel architecture simulator
-
S. S. Mukherjee, S. K. Reinhardt, B. Falsafi, M. Litzkow, M. D. Hill, D. A. Wood, S. Huss-Lederman, and J. R. Larus. 2000. Wisconsin wind tunnel II: A fast, portable parallel architecture simulator. IEEE Concurrency 8, 4, 12-20.
-
(2000)
IEEE Concurrency
, vol.8
, Issue.4
, pp. 12-20
-
-
Mukherjee, S.S.1
Reinhardt, S.K.2
Falsafi, B.3
Litzkow, M.4
Hill, M.D.5
Wood, D.A.6
Huss-Lederman, S.7
Larus, J.R.8
-
38
-
-
79955891472
-
HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing
-
M. Pellauer, M. Adler, M. Kinsy, A. Parashar, and J. Emer. 2011. HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA). 406-417.
-
(2011)
Proceedings of the International Symposium on High Performance Computer Architecture (HPCA)
, pp. 406-417
-
-
Pellauer, M.1
Adler, M.2
Kinsy, M.3
Parashar, A.4
Emer, J.5
-
41
-
-
43049128224
-
An instruction throughput model of superscalar processors
-
T. Taha and D. Wills. 2008. An instruction throughput model of superscalar processors. IEEE Transactions on Computers 57, 3, 389-403.
-
(2008)
IEEE Transactions on Computers
, vol.57
, Issue.3
, pp. 389-403
-
-
Taha, T.1
Wills, D.2
-
43
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the 22nd International Symposium on Computer Architecture (ISCA). 24-36.
-
(1995)
Proceedings of the 22nd International Symposium on Computer Architecture (ISCA)
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
|