-
1
-
-
38949154490
-
Harnessing green IT: Principles and practices
-
DOI 10.1109/MITP.2008.10
-
S. Murugesan Harnessing green IT: principles and practices IT Professional 10 1 2008 24 33 (Pubitemid 351225229)
-
(2008)
IT Professional
, vol.10
, Issue.1
, pp. 24-33
-
-
Murugesan, S.1
-
2
-
-
0032592096
-
Design challenges of technology scaling
-
S. Borkar Design challenges of technology scaling Micro IEEE 19 4 1999 23 29
-
(1999)
Micro IEEE
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
3
-
-
75649138755
-
Smartreflex power and performance management technologies for 90 nm, 65 nm, and 45 nm mobile application processors
-
G. Gammie, A. Wang, H. Mair, R. Lagerquist, M. Chau, P. Royannez, S. Gururajarao, and U. Ko Smartreflex power and performance management technologies for 90 nm, 65 nm, and 45 nm mobile application processors Proceedings of the IEEE 98 2 2010 144 159
-
(2010)
Proceedings of the IEEE
, vol.98
, Issue.2
, pp. 144-159
-
-
Gammie, G.1
Wang, A.2
Mair, H.3
Lagerquist, R.4
Chau, M.5
Royannez, P.6
Gururajarao, S.7
Ko, U.8
-
5
-
-
34547261834
-
Thousand core chips - A technology perspective
-
DOI 10.1109/DAC.2007.375263, 4261282, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
S. Borkar Thousand core chips: a technology perspective 44th Annual Design Automation Conference ACM 2007 746 749 (Pubitemid 47130064)
-
(2007)
Proceedings - Design Automation Conference
, pp. 746-749
-
-
Borkar, S.1
-
6
-
-
62349138248
-
First the Tick, Now the Tock: Next Generation Intel Microarchitecture (Nehalem)
-
First the Tick, Now the Tock: Next Generation Intel Microarchitecture (Nehalem), Tech. Rep., Intel Whitepaper, 2008.
-
(2008)
Tech. Rep., Intel Whitepaper
-
-
-
7
-
-
58149218299
-
A 65 nm 2-billion transistor quad-core Itanium processor
-
B. Stackhouse et al. A 65 nm 2-billion transistor quad-core Itanium processor IEEE Journal of Solid-State Circuits 44 1 2009 18 31
-
(2009)
IEEE Journal of Solid-State Circuits
, vol.44
, Issue.1
, pp. 18-31
-
-
Stackhouse, B.1
-
8
-
-
79955713571
-
A 32 nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers
-
R. Riedlinger, R. Bhatia, L. Biro, B. Bowhill, E. Fetzer, P. Gronowski, and T. Grutkowski A 32 nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) 2011 84 86
-
(2011)
IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC)
, pp. 84-86
-
-
Riedlinger, R.1
Bhatia, R.2
Biro, L.3
Bowhill, B.4
Fetzer, E.5
Gronowski, P.6
Grutkowski, T.7
-
9
-
-
84897577646
-
Exploiting critical data regions to reduce data cache energy consumption
-
Bangalore
-
A. Vardhan, and Y. Srikant Exploiting critical data regions to reduce data cache energy consumption Tech. Rep., Indian Institute of Science Bangalore 2013
-
(2013)
Tech. Rep., Indian Institute of Science
-
-
Vardhan, A.1
Srikant, Y.2
-
10
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
S. Li, J. Ahn, R. Strong, J. Brockman, D. Tullsen, and N. Jouppi McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures 42nd IEEE/ACM International Symposium on Microarchitecture (MICRO) 2009 469 480
-
(2009)
42nd IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 469-480
-
-
Li, S.1
Ahn, J.2
Strong, R.3
Brockman, J.4
Tullsen, D.5
Jouppi, N.6
-
11
-
-
34247259499
-
Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/ 32nm)
-
DOI 10.1145/1165573.1165581, ISLPED'06 - Proceedings of the 2006 International Symposium on Low Power Electronics and Design
-
S. Rodriguez, and B. Jacob Energy/power breakdown of pipelined nanometer caches (90 nm/65 nm/45 nm/32 nm) International Symposium on Low Power Electronics and Design ACM 2006 25 30 (Pubitemid 46613753)
-
(2006)
Proceedings of the International Symposium on Low Power Electronics and Design
, vol.2006
, pp. 25-30
-
-
Rodriguez, S.1
Jacob, B.2
-
16
-
-
84948762407
-
Leakage energy management in cache hierarchies
-
L. Li, I. Kadayif, Y. Tsai, N. Vijaykrishnan, M. Kandemir, M. Irwin, and A. Sivasubramaniam Leakage energy management in cache hierarchies IEEE PACT 2002 131 140
-
(2002)
IEEE PACT
, pp. 131-140
-
-
Li, L.1
Kadayif, I.2
Tsai, Y.3
Vijaykrishnan, N.4
Kandemir, M.5
Irwin, M.6
Sivasubramaniam, A.7
-
23
-
-
0035693947
-
Reducing set-associative cache energy via way-prediction and selective direct-mapping
-
M. Powell, A. Agrawal, T. Vijaykumar, B. Falsafi, and K. Roy Reducing set-associative cache energy via way-prediction and selective direct-mapping 34th International Symposium on Microarchitecture 2001 54 65 (Pubitemid 34086854)
-
(2001)
Proceedings of the Annual International Symposium on Microarchitecture
, pp. 54-65
-
-
Powell, M.D.1
Agarwal, A.2
Vijaykumar, T.N.3
Falsafi, B.4
Roy, K.5
-
24
-
-
85037529339
-
L1 data cache power reduction using a forwarding predictor
-
P. Carazo Minguela, R. Apolloni, F. Castro, D. Chaver, L. Pinuel, and F. Tirado L1 data cache power reduction using a forwarding predictor Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation 2011 116 125
-
(2011)
Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation
, pp. 116-125
-
-
Carazo Minguela, P.1
Apolloni, R.2
Castro, F.3
Chaver, D.4
Pinuel, L.5
Tirado, F.6
-
26
-
-
0036504804
-
Access-mode predictions for low-power cache design
-
DOI 10.1109/MM.2002.997880
-
Z. Zhu, and X. Zhang Access-mode predictions for low-power cache design Micro IEEE 22 2 2002 58 71 (Pubitemid 34434064)
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 58-71
-
-
Zhu, Z.1
Zhang, X.2
-
29
-
-
70449723375
-
Way guard: A segmented counting bloom filter approach to reducing energy for set-associative caches
-
M. Ghosh, E. Ozer, S. Ford, S. Biles, and H. Lee Way guard: a segmented counting bloom filter approach to reducing energy for set-associative caches International Symposium on Low Power Electronics and Design 2009 165 170
-
(2009)
International Symposium on Low Power Electronics and Design
, pp. 165-170
-
-
Ghosh, M.1
Ozer, E.2
Ford, S.3
Biles, S.4
Lee, H.5
-
34
-
-
84865550793
-
Reducing L1 caches power by exploiting software semantics
-
Z. Fang, L. Zhao, X. Jiang, S. Lu, R. Iyer, T. Li, and S. Lee Reducing L1 caches power by exploiting software semantics International Symposium on Low Power Electronics and Design (ISLPED) 2012
-
(2012)
International Symposium on Low Power Electronics and Design (ISLPED)
-
-
Fang, Z.1
Zhao, L.2
Jiang, X.3
Lu, S.4
Iyer, R.5
Li, T.6
Lee, S.7
-
35
-
-
49749100728
-
Instruction cache energy saving through compiler way-placement
-
IEEE
-
T. Jones, S. Bartolini, B. De Bus, J. Cavazos, and F. O'Boyle Instruction cache energy saving through compiler way-placement Design, Automation and Test in Europe (DATE) IEEE 2008 1196 1201
-
(2008)
Design, Automation and Test in Europe (DATE)
, pp. 1196-1201
-
-
Jones, T.1
Bartolini, S.2
De Bus, B.3
Cavazos, J.4
O'Boyle, F.5
-
36
-
-
38849204137
-
Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors
-
DOI 10.1145/1289816.1289876, CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
-
C. Yu, and P. Petrov Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis 2007 245 250 (Pubitemid 351203974)
-
(2007)
CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
, pp. 245-250
-
-
Yu, C.1
Peter Petrov, P.2
-
41
-
-
84948754628
-
Integrating adaptive on-chip storage structures for reduced dynamic power
-
S. Dropsho, A. Buyuktosunoglu, R. Balasubramonian, D.H. Albonesi, S. Dwarkadas, G. Semeraro, G. Magklis, and M.L. Scott Integrating adaptive on-chip storage structures for reduced dynamic power PACT 2002
-
(2002)
PACT
-
-
Dropsho, S.1
Buyuktosunoglu, A.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Semeraro, G.6
Magklis, G.7
Scott, M.L.8
-
44
-
-
77955663113
-
Compressed tag architecture for low-power embedded cache systems
-
J. Kwak, and Y. Jeon Compressed tag architecture for low-power embedded cache systems Journal of Systems Architecture 56 9 2010 419 428
-
(2010)
Journal of Systems Architecture
, vol.56
, Issue.9
, pp. 419-428
-
-
Kwak, J.1
Jeon, Y.2
-
46
-
-
84857716035
-
Using partial tag comparison in low-power snoop-based chip multiprocessors
-
A. Varbanescu, A. Molnos, R. van, Nieuwpoort Springer Berlin, Heidelberg
-
A. Shafiee, N. Shahidi, and A. Baniasadi Using partial tag comparison in low-power snoop-based chip multiprocessors A. Varbanescu, A. Molnos, R. van, Nieuwpoort Computer Architecture, vol. 6161 of Lecture Notes in Computer Science 2012 Springer Berlin, Heidelberg 211 221
-
(2012)
Computer Architecture, Vol. 6161 of Lecture Notes in Computer Science
, pp. 211-221
-
-
Shafiee, A.1
Shahidi, N.2
Baniasadi, A.3
-
47
-
-
72349085599
-
Robtic: An on-chip instruction cache design for low power embedded systems
-
J. Gu, H. Guo, and P. Li Robtic: An on-chip instruction cache design for low power embedded systems 15th IEEE RTCSA 2009 419 424
-
(2009)
15th IEEE RTCSA
, pp. 419-424
-
-
Gu, J.1
Guo, H.2
Li, P.3
-
50
-
-
78650873075
-
Location cache design and performance analysis for chip multiprocessors
-
J. Nemeth, R. Min, W. Jone, and Y. Hu Location cache design and performance analysis for chip multiprocessors IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 1 2011 104 117
-
(2011)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.19
, Issue.1
, pp. 104-117
-
-
Nemeth, J.1
Min, R.2
Jone, W.3
Hu, Y.4
-
51
-
-
0034206002
-
Summary cache: A scalable wide-area web cache sharing protocol
-
L. Fan, P. Cao, J. Almeida, and A. Broder Summary cache: a scalable wide-area web cache sharing protocol IEEE/ACM Transactions on Networking (TON) 8 3 2000 281 293
-
(2000)
IEEE/ACM Transactions on Networking (TON)
, vol.8
, Issue.3
, pp. 281-293
-
-
Fan, L.1
Cao, P.2
Almeida, J.3
Broder, A.4
-
52
-
-
79251600625
-
Energy-efficient hardware data prefetching
-
Y. Guo, P. Narayanan, M.A. Bennaser, S. Chheda, and C.A. Moritz Energy-efficient hardware data prefetching IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 2 2011 250 263
-
(2011)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.19
, Issue.2
, pp. 250-263
-
-
Guo, Y.1
Narayanan, P.2
Bennaser, M.A.3
Chheda, S.4
Moritz, C.A.5
-
53
-
-
52649125840
-
3D-stacked memory architectures for multi-core processors
-
G.H. Loh 3D-stacked memory architectures for multi-core processors ACM SIGARCH Computer Architecture News 36 2008 453 464
-
(2008)
ACM SIGARCH Computer Architecture News
, vol.36
, pp. 453-464
-
-
Loh, G.H.1
-
54
-
-
84897573458
-
-
http://www.tezzaron.com/technology/FaStack.htm (2013).
-
(2013)
-
-
-
55
-
-
40349090128
-
Die stacking (3D) microarchitecture
-
DOI 10.1109/MICRO.2006.18, 4041869, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
B. Black et al. Die stacking (3D) microarchitecture IEEE/ACM International Symposium on Microarchitecture (MICRO) 2006 469 479 (Pubitemid 351337019)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 469-479
-
-
Black, B.1
Annavaram, M.2
Brekelbaum, N.3
Devale, J.4
Lei, J.5
Loh, G.H.6
McCauley, D.7
Morrow, P.8
Nelson, D.W.9
Pantuso, D.10
Reed, P.11
Rupley, J.12
Shankar, S.13
John, S.14
Webb, C.15
-
57
-
-
70350586564
-
3D DRAM design and application to 3D multicore systems
-
H. Sun, J. Liu, R.S. Anigundi, N. Zheng, J.-Q. Lu, K. Rose, and T. Zhang 3D DRAM design and application to 3D multicore systems IEEE Design and Test of Computers 26 5 2009 36 47
-
(2009)
IEEE Design and Test of Computers
, vol.26
, Issue.5
, pp. 36-47
-
-
Sun, H.1
Liu, J.2
Anigundi, R.S.3
Zheng, N.4
Lu, J.-Q.5
Rose, K.6
Zhang, T.7
-
58
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner, N. Kim, S. Martin, D. Blaauw, and T. Mudge Drowsy caches: simple techniques for reducing leakage power International Symposium on Computer Architecture (ISCA) 2002 148 157 (Pubitemid 34691858)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
60
-
-
0042921418
-
Static energy reduction techniques for microprocessor caches
-
H. Hanson, M. Hrishikesh, V. Agarwal, S. Keckler, and D. Burger Static energy reduction techniques for microprocessor caches IEEE Transactions on VLSI Systems 1 3 2003 303 313
-
(2003)
IEEE Transactions on VLSI Systems
, vol.1
, Issue.3
, pp. 303-313
-
-
Hanson, H.1
Hrishikesh, M.2
Agarwal, V.3
Keckler, S.4
Burger, D.5
-
61
-
-
0036051046
-
DRG-cache: A data retention gated-ground cache for low power
-
A. Agarwal, H. Li, and K. Roy DRG-cache: a data retention gated-ground cache for low power Design Automation Conference 2002 473 478
-
(2002)
Design Automation Conference
, pp. 473-478
-
-
Agarwal, A.1
Li, H.2
Roy, K.3
-
62
-
-
0033672408
-
Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories
-
M. Powell, S.-H. Yang, B. Falsafi, K. Roy, and T. Vijaykumar Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories International Symposium on Low Power Electronics and Design (ISLPED) 2000 90 95
-
(2000)
International Symposium on Low Power Electronics and Design (ISLPED)
, pp. 90-95
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.5
-
64
-
-
33644649522
-
Exploiting temporal locality in drowsy cache policies
-
DOI 10.1145/1062261.1062321, 2005 Computing Frontiers Conference
-
S. Petit, J. Sahuquillo, J. Such, and D. Kaeli Exploiting temporal locality in drowsy cache policies 2nd Conference on Computing Frontiers ACM 2005 371 377 (Pubitemid 43325374)
-
(2005)
2005 Computing Frontiers Conference
, pp. 371-377
-
-
Petit, S.1
Sahuquillo, J.2
Such, J.M.3
Kaeli, D.4
-
65
-
-
33644657126
-
Controlling leakage power with the replacement policy in slumberous caches
-
DOI 10.1145/1062261.1062290, 2005 Computing Frontiers Conference
-
N. Mohyuddin, R. Bhatti, and M. Dubois Controlling leakage power with the replacement policy in slumberous caches 2nd Conference on Computing Frontiers ACM 2005 161 170 (Pubitemid 43325351)
-
(2005)
2005 Computing Frontiers Conference
, pp. 161-170
-
-
Mohyuddin, N.1
Bhatti, R.2
Dubois, M.3
-
66
-
-
1542269318
-
Exploiting program hotspots and code sequentiality for instruction cache leakage management
-
ACM
-
J. Hu, A. Nadgir, N. Vijaykrishnan, M. Irwin, and M. Kandemir Exploiting program hotspots and code sequentiality for instruction cache leakage management International Symposium on Low Power Electronics and Design ACM 2003 402 407
-
(2003)
International Symposium on Low Power Electronics and Design
, pp. 402-407
-
-
Hu, J.1
Nadgir, A.2
Vijaykrishnan, N.3
Irwin, M.4
Kandemir, M.5
-
68
-
-
36849054473
-
On-demand solution to minimize I-cache leakage energy with maintaining performance
-
DOI 10.1109/TC.2007.70770
-
S. Chung, and K. Skadron On-demand solution to minimize I-Cache leakage energy with maintaining performance IEEE Transactions on Computers 57 1 2008 7 24 (Pubitemid 350225915)
-
(2008)
IEEE Transactions on Computers
, vol.57
, Issue.1
, pp. 7-24
-
-
Chung, S.W.1
Skadron, K.2
-
69
-
-
33644988883
-
Distance-based recent use (DRU): An enhancement to instruction cache replacement policies for transition energy reduction
-
P. Kalla, X.S. Hu, and J. Henkel Distance-based recent use (DRU): an enhancement to instruction cache replacement policies for transition energy reduction IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14 1 2006 69 80
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.1
, pp. 69-80
-
-
Kalla, P.1
Hu, X.S.2
Henkel, J.3
-
72
-
-
0344841297
-
Adaptive mode control: A static-power-efficient cache design
-
H. Zhou, M. Toburen, E. Rotenberg, and T. Conte Adaptive mode control: a static-power-efficient cache design ACM Transactions on Embedded Computing Systems 2 3 2003 347 372
-
(2003)
ACM Transactions on Embedded Computing Systems
, vol.2
, Issue.3
, pp. 347-372
-
-
Zhou, H.1
Toburen, M.2
Rotenberg, E.3
Conte, T.4
-
73
-
-
85016664946
-
IATAC: A smart predictor to turn-off L2 cache lines
-
J. Abella, A. González, X. Vera, and M. O'Boyle IATAC: a smart predictor to turn-off L2 cache lines ACM Transactions on Architecture and Code Optimization 2 1 2005 55 77
-
(2005)
ACM Transactions on Architecture and Code Optimization
, vol.2
, Issue.1
, pp. 55-77
-
-
Abella, J.1
González, A.2
Vera, X.3
O'Boyle, M.4
-
77
-
-
77953087846
-
A reconfigurable cache memory with heterogeneous banks
-
IEEE
-
D. Benitez, J. Moure, D. Rexachs, and E. Luque A reconfigurable cache memory with heterogeneous banks Design, Automation and Test in Europe Conference and Exhibition (DATE) IEEE 2010 825 830
-
(2010)
Design, Automation and Test in Europe Conference and Exhibition (DATE)
, pp. 825-830
-
-
Benitez, D.1
Moure, J.2
Rexachs, D.3
Luque, E.4
-
78
-
-
84855714028
-
Leakage energy reduction in cache memory by data compression
-
K. Tanaka, and T. Kawahara Leakage energy reduction in cache memory by data compression ACM SIGARCH Computer Architecture News 35 5 2007 17 24
-
(2007)
ACM SIGARCH Computer Architecture News
, vol.35
, Issue.5
, pp. 17-24
-
-
Tanaka, K.1
Kawahara, T.2
-
79
-
-
28444461154
-
Snug set-associative caches : Reducing leakage power while improving performance
-
ISLPED'05 - Proceedings of the 2005 International Symposium on Low Power Electronics and Design
-
J. Li, and Y. Hwang Snug set-associative caches: reducing leakage power while improving performance International Symposium on Low Power Electronics and Design 2005 345 350 (Pubitemid 41731683)
-
(2005)
Proceedings of the International Symposium on Low Power Electronics and Design
, pp. 345-350
-
-
Li, J.-J.1
Hwang, Y.-S.2
-
80
-
-
80054679382
-
A leakage-aware L2 cache management technique for producer-consumer sharing in low-power chip multiprocessors
-
H. Kim, and J. Kim A leakage-aware L2 cache management technique for producer-consumer sharing in low-power chip multiprocessors Journal of Parallel and Distributed Computing 2011
-
(2011)
Journal of Parallel and Distributed Computing
-
-
Kim, H.1
Kim, J.2
-
81
-
-
84870597826
-
Leveraging data promotion for low power D-NUCA caches
-
IEEE
-
A. Bardine, M. Comparetti, P. Foglia, G. Gabrielli, C. Prete, and P. Stenström Leveraging data promotion for low power D-NUCA caches 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools (DSD), IEEE IEEE 2008 307 316
-
(2008)
11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools (DSD), IEEE
, pp. 307-316
-
-
Bardine, A.1
Comparetti, M.2
Foglia, P.3
Gabrielli, G.4
Prete, C.5
Stenström, P.6
-
84
-
-
84894846249
-
Palette: A cache leakage energy saving technique for green computing
-
C. Catlett, W. Gentzsch, L. Grandinetti, G. Joubert, J. Vazquez-Poletti, IOS Press
-
S. Mittal, and Z. Zhang Palette: a cache leakage energy saving technique for green computing. C. Catlett, W. Gentzsch, L. Grandinetti, G. Joubert, J. Vazquez-Poletti, HPC: Transition Towards Exascale Processing, Advances in Parallel Computing 2013 IOS Press
-
(2013)
HPC: Transition Towards Exascale Processing, Advances in Parallel Computing
-
-
Mittal, S.1
Zhang, Z.2
-
85
-
-
84948993747
-
Compiler-directed instruction cache leakage optimization
-
W. Zhang, J. Hu, V. Degalahal, M. Kandemir, N. Vijaykrishnan, and M. Irwin Compiler-directed instruction cache leakage optimization International Symposium on Microarchitecture (MICRO) 2002 208 218
-
(2002)
International Symposium on Microarchitecture (MICRO)
, pp. 208-218
-
-
Zhang, W.1
Hu, J.2
Degalahal, V.3
Kandemir, M.4
Vijaykrishnan, N.5
Irwin, M.6
-
86
-
-
48049117328
-
Virtual exclusion: An architectural approach to reducing leakage energy in caches for multiprocessor systems
-
IEEE
-
M. Ghosh, and H. Lee Virtual exclusion: an architectural approach to reducing leakage energy in caches for multiprocessor systems International Conference on Parallel and Distributed Systems, vol. 2 IEEE 2007 1 8
-
(2007)
International Conference on Parallel and Distributed Systems, Vol. 2
, pp. 1-8
-
-
Ghosh, M.1
Lee, H.2
-
88
-
-
3042656888
-
State-preserving vs non-state-preserving leakage control in caches
-
IEEE
-
Y. Li, D. Parikh, Y. Zhang, K. Sankaranarayanan, M. Stan, and K. Skadron State-preserving vs. non-state-preserving leakage control in caches Design, Automation and Test in Europe Conference and Exhibition, vol. 1 IEEE 2004 22 27
-
(2004)
Design, Automation and Test in Europe Conference and Exhibition, Vol. 1
, pp. 22-27
-
-
Li, Y.1
Parikh, D.2
Zhang, Y.3
Sankaranarayanan, K.4
Stan, M.5
Skadron, K.6
-
90
-
-
79955093931
-
Power-aware dynamic cache partitioning for CMPs
-
I. Kotera, K. Abe, R. Egawa, H. Takizawa, and H. Kobayashi Power-aware dynamic cache partitioning for CMPs Transactions on High-Performance Embedded Architectures and Compilers III 2011 135 153
-
(2011)
Transactions on High-Performance Embedded Architectures and Compilers III
, pp. 135-153
-
-
Kotera, I.1
Abe, K.2
Egawa, R.3
Takizawa, H.4
Kobayashi, H.5
-
91
-
-
63549102138
-
Multi-optimization power management for chip multiprocessors
-
K. Meng, R. Joseph, R. Dick, and L. Shang Multi-optimization power management for chip multiprocessors PACT 2008 177 186
-
(2008)
PACT
, pp. 177-186
-
-
Meng, K.1
Joseph, R.2
Dick, R.3
Shang, L.4
-
93
-
-
33749396826
-
Thermal management of on-chip caches through power density minimization
-
DOI 10.1109/MICRO.2005.36, 1540967, MICRO-38: Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture
-
J. Ku, S. Ozdemir, G. Memik, and Y. Ismail Thermal management of on-chip caches through power density minimization International Symposium on Microarchitecture (MICRO) 2005 283 293 (Pubitemid 44500144)
-
(2005)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 283-293
-
-
Ku, J.C.1
Ozdemir, S.2
Memik, G.3
Ismail, Y.4
-
99
-
-
77949462086
-
Cache partitioning for energy-efficient and interference-free embedded multitasking
-
R. Reddy, and P. Petrov Cache partitioning for energy-efficient and interference-free embedded multitasking ACM Transactions on Embedded Computing Systems (TECS) 9 3 2010 16
-
(2010)
ACM Transactions on Embedded Computing Systems (TECS)
, vol.9
, Issue.3
, pp. 16
-
-
Reddy, R.1
Petrov, P.2
-
100
-
-
70349481943
-
Dynamic reconfiguration of two-level caches in soft real-time embedded systems
-
W. Wang, and P. Mishra Dynamic reconfiguration of two-level caches in soft real-time embedded systems IEEE Computer Society Annual Symposium on VLSI 2009 145 150
-
(2009)
IEEE Computer Society Annual Symposium on VLSI
, pp. 145-150
-
-
Wang, W.1
Mishra, P.2
-
101
-
-
80052672484
-
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multicore systems
-
W. Wang, P. Mishra, and S. Ranka Dynamic cache reconfiguration and partitioning for energy optimization in real-time multicore systems 48th Design Automation Conference 2011 948 953
-
(2011)
48th Design Automation Conference
, pp. 948-953
-
-
Wang, W.1
Mishra, P.2
Ranka, S.3
-
103
-
-
80053290768
-
TALk: A temperature-aware leakage minimization technique for real-time systems
-
L. Yuan, S. Leventhal, J. Gu, and G. Qu TALk: a temperature-aware leakage minimization technique for real-time systems IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 30 10 2011 1564 1568
-
(2011)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.30
, Issue.10
, pp. 1564-1568
-
-
Yuan, L.1
Leventhal, S.2
Gu, J.3
Qu, G.4
-
104
-
-
4444254095
-
System level leakage reduction considering the interdependence of temperature and leakage
-
L. He, W. Liao, and M. Stan System level leakage reduction considering the interdependence of temperature and leakage Design Automation Conference 2004 12 17
-
(2004)
Design Automation Conference
, pp. 12-17
-
-
He, L.1
Liao, W.2
Stan, M.3
-
107
-
-
79955903988
-
ACCESS: Smart scheduling for asymmetric cache CMPs
-
X. Jiang, A. Mishra, L. Zhao, R. Iyer, Z. Fang, S. Srinivasan, S. Makineni, P. Brett, and C. Das ACCESS: smart scheduling for asymmetric cache CMPs International Symposium on High Performance Computer Architecture (HPCA) 2011 527 538
-
(2011)
International Symposium on High Performance Computer Architecture (HPCA)
, pp. 527-538
-
-
Jiang, X.1
Mishra, A.2
Zhao, L.3
Iyer, R.4
Fang, Z.5
Srinivasan, S.6
Makineni, S.7
Brett, P.8
Das, C.9
-
110
-
-
84862971010
-
Bandwidth-aware reconfigurable cache design with hybrid memory technologies
-
IEEE Press
-
J. Zhao, C. Xu, and Y. Xie Bandwidth-aware reconfigurable cache design with hybrid memory technologies International Conference on Computer-Aided Design 2010 IEEE Press 48 55
-
(2010)
International Conference on Computer-Aided Design
, pp. 48-55
-
-
Zhao, J.1
Xu, C.2
Xie, Y.3
-
115
-
-
84867643144
-
Dynamic last-level cache allocation to reduce area and power overhead in directory coherence protocols
-
C. Kaklamanis, T. Papatheodorou, P. Spirakis, Springer
-
M. Lodde, and et al. Dynamic last-level cache allocation to reduce area and power overhead in directory coherence protocols C. Kaklamanis, T. Papatheodorou, P. Spirakis, Euro-Par 2012 Parallel Processing, vol. 7484 of Lecture Notes in Computer Science 2012 Springer 206 218
-
(2012)
Euro-Par 2012 Parallel Processing, Vol. 7484 of Lecture Notes in Computer Science
, pp. 206-218
-
-
Lodde, M.1
-
117
-
-
4444368993
-
Leakage aware dynamic voltage scaling for real-time embedded systems
-
IEEE
-
R. Jejurikar, C. Pereira, and R. Gupta Leakage aware dynamic voltage scaling for real-time embedded systems Design Automation Conference IEEE 2004 275 280
-
(2004)
Design Automation Conference
, pp. 275-280
-
-
Jejurikar, R.1
Pereira, C.2
Gupta, R.3
-
118
-
-
77949974202
-
Leakage-aware energy minimization using dynamic voltage scaling and cache reconfiguration in real-time systems
-
W. Wang, and P. Mishra Leakage-aware energy minimization using dynamic voltage scaling and cache reconfiguration in real-time systems 23rd International Conference on VLSI Design 2010 357 362
-
(2010)
23rd International Conference on VLSI Design
, pp. 357-362
-
-
Wang, W.1
Mishra, P.2
-
119
-
-
70350712417
-
A DVS-based pipelined reconfigurable instruction memory
-
Z. Ge, T. Mitra, and W. Wong A DVS-based pipelined reconfigurable instruction memory Design Automation Conference 2009 897 902
-
(2009)
Design Automation Conference
, pp. 897-902
-
-
Ge, Z.1
Mitra, T.2
Wong, W.3
-
121
-
-
84863390501
-
Residue cache: A low-energy low-area L2 cache architecture via compression and partial hits
-
S. Kim, J. Lee, J. Kim, and S. Hong Residue cache: a low-energy low-area L2 cache architecture via compression and partial hits International Symposium on Microarchitecture 2011 420 429
-
(2011)
International Symposium on Microarchitecture
, pp. 420-429
-
-
Kim, S.1
Lee, J.2
Kim, J.3
Hong, S.4
-
122
-
-
54549086766
-
Capturing and optimizing the interactions between prefetching and cache line turnoff
-
I. Kadayif, A. Zorlubas, S. Koyuncu, O. Kabal, D. Akcicek, Y. Sahin, and M. Kandemir Capturing and optimizing the interactions between prefetching and cache line turnoff Microprocessors and Microsystems 32 7 2008 394 404
-
(2008)
Microprocessors and Microsystems
, vol.32
, Issue.7
, pp. 394-404
-
-
Kadayif, I.1
Zorlubas, A.2
Koyuncu, S.3
Kabal, O.4
Akcicek, D.5
Sahin, Y.6
Kandemir, M.7
-
123
-
-
79955534230
-
TACLC: Timing-aware cache leakage control for hard real-time systems
-
Y.-J. Chen, C.-L. Yang, J.-W. Chi, and J.-J. Chen TACLC: timing-aware cache leakage control for hard real-time systems IEEE Trans. Comput. 60 6 2011 767 782
-
(2011)
IEEE Trans. Comput.
, vol.60
, Issue.6
, pp. 767-782
-
-
Chen, Y.-J.1
Yang, C.-L.2
Chi, J.-W.3
Chen, J.-J.4
-
124
-
-
79952850907
-
A general algorithm for energy-aware dynamic reconfiguration in multitasking systems
-
W. Wang, S. Ranka, and P. Mishra A general algorithm for energy-aware dynamic reconfiguration in multitasking systems 24th International Conference on VLSI Design 2011 334 339
-
(2011)
24th International Conference on VLSI Design
, pp. 334-339
-
-
Wang, W.1
Ranka, S.2
Mishra, P.3
-
125
-
-
85027929285
-
Dynamically Adaptive I-Cache Partitioning for Energy-Efficient Embedded Multitasking
-
M. Paul, and P. Petrov Dynamically Adaptive I-Cache Partitioning for Energy-Efficient Embedded Multitasking. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 11 2011 2067 2080
-
(2011)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.19
, Issue.11
, pp. 2067-2080
-
-
Paul, M.1
Petrov, P.2
-
126
-
-
0031645889
-
A low power SRAM using auto-backgate-controlled MT-CMOS
-
IEEE
-
K. Nii, H. Makino, Y. Tujihashi, C. Morishima, Y. Hayakawa, H. Nunogami, T. Arakawa, and H. Hamano A low power SRAM using auto-backgate-controlled MT-CMOS International Symposium on Low Power Electronics and Design IEEE 1998 293 298
-
(1998)
International Symposium on Low Power Electronics and Design
, pp. 293-298
-
-
Nii, K.1
Makino, H.2
Tujihashi, Y.3
Morishima, C.4
Hayakawa, Y.5
Nunogami, H.6
Arakawa, T.7
Hamano, H.8
-
128
-
-
34548042910
-
Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
-
DOI 10.1109/MICRO.2006.49, 4041865, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
M.K. Qureshi, and Y.N. Patt Utility-based cache partitioning: a low-overhead, high-performance, runtime mechanism to partition shared caches International Symposium on Microarchitecture 2006 423 432 (Pubitemid 351337015)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 423-432
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
133
-
-
49549096253
-
A sub-1W to 2W low-power IA processor for mobile internet devices and ultra-mobile PCs in 45 nm hi-κ metal gate CMOS
-
G. Gerosa, S. Curtis, M. D'Addeo, B. Jiang, B. Kuttanna, F. Merchant, B. Patel, M. Taufique, and H. Samarchi A sub-1W to 2W low-power IA processor for mobile internet devices and ultra-mobile PCs in 45 nm hi-κ metal gate CMOS IEEE International Solid-State Circuits Conference (ISSCC). Digest of Technical Papers 2008 256 611
-
(2008)
IEEE International Solid-State Circuits Conference (ISSCC). Digest of Technical Papers
, pp. 256-611
-
-
Gerosa, G.1
Curtis, S.2
D'Addeo, M.3
Jiang, B.4
Kuttanna, B.5
Merchant, F.6
Patel, B.7
Taufique, M.8
Samarchi, H.9
-
134
-
-
33947644880
-
The 65-nm 16-MB shared on-die L3 cache for the Dual-Core Intel Xeon Processor 7100 Series
-
DOI 10.1109/JSSC.2007.892185
-
J. Chang, M. Huang, J. Shoemaker, J. Benoit, S. Chen, W. Chen, S. Chiu, R. Ganesan, G. Leong, and V. Lukka et al. The 65-nm 16-MB shared on-die L3 cache for the dual-core intel xeon processor 7100 series IEEE Journal of Solid-State Circuits 42 4 2007 846 852 (Pubitemid 46495402)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.4
, pp. 846-852
-
-
Chang, J.1
Huang, M.2
Shoemaker, J.3
Benoit, J.4
Chen, S.-L.5
Chen, W.6
Chiu, S.7
Ganesan, R.8
Leong, G.9
Lukka, V.10
Rusu, S.11
Srivastava, D.12
-
135
-
-
73249146452
-
A 45 nm 8-core enterprise Xeon processor
-
S. Rusu, S. Tam, H. Muljono, J. Stinson, D. Ayers, J. Chang, R. Varada, M. Ratta, S. Kottapalli, and S. Vora A 45 nm 8-core enterprise Xeon processor IEEE Journal of Solid-State Circuits 45 1 2010 7 14
-
(2010)
IEEE Journal of Solid-State Circuits
, vol.45
, Issue.1
, pp. 7-14
-
-
Rusu, S.1
Tam, S.2
Muljono, H.3
Stinson, J.4
Ayers, D.5
Chang, J.6
Varada, R.7
Ratta, M.8
Kottapalli, S.9
Vora, S.10
-
136
-
-
77952125596
-
Westmere: A family of 32 nm IA processors
-
N. Kurd, S. Bhamidipati, C. Mozak, J. Miller, T. Wilson, M. Nemani, and M. Chowdhury Westmere: a family of 32 nm IA processors IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) 2010 96 97
-
(2010)
IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC)
, pp. 96-97
-
-
Kurd, N.1
Bhamidipati, S.2
Mozak, C.3
Miller, J.4
Wilson, T.5
Nemani, M.6
Chowdhury, M.7
-
137
-
-
34548817260
-
The implementation of the 65 nm dual-core 64b Merom processor
-
N. Sakran, M. Yuffe, M. Mehalel, J. Doweck, E. Knoll, and A. Kovacs The implementation of the 65 nm dual-core 64b Merom processor IEEE International Solid-State Circuits Conference (ISSCC). Digest of Technical Papers 2007 106 590
-
(2007)
IEEE International Solid-State Circuits Conference (ISSCC). Digest of Technical Papers
, pp. 106-590
-
-
Sakran, N.1
Yuffe, M.2
Mehalel, M.3
Doweck, J.4
Knoll, E.5
Kovacs, A.6
-
138
-
-
28144444694
-
90 nm low leakage SoC design techniques for wireless applications
-
Digest of Technical Papers
-
P. Royannez, H. Mair, F. Dahan, M. Wagner, M. Streeter, L. Bouetel, J. Blasquez, H. Clasen, G. Semino, and J. Dong et al. 90 nm low leakage SoC design techniques for wireless applications IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers 2005 138 589
-
(2005)
IEEE International Solid-State Circuits Conference (ISSCC)
, pp. 138-589
-
-
Royannez, P.1
Mair, H.2
Dahan, F.3
Wagner, M.4
Streeter, M.5
Bouetel, L.6
Blasquez, J.7
Clasen, H.8
Semino, G.9
Dong, J.10
-
139
-
-
37749046057
-
A 65-nm mobile multimedia applications processor with an adaptive power management scheme to compensate for variations
-
DOI 10.1109/VLSIC.2007.4342728, 4342728, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
H. Mair, A. Wang, G. Gammie, D. Scott, P. Royannez, S. Gururajarao, M. Chau, R. Lagerquist, L. Ho, and M. Basude et al. A 65-nm mobile multimedia applications processor with an adaptive power management scheme to compensate for variations IEEE Symposium on VLSI Circuits 2007 224 225 (Pubitemid 351306632)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 224-225
-
-
Mair, H.1
Wang, A.2
Gammie, G.3
Scott, D.4
Royannez, P.5
Guairajarao, S.6
Chau, M.7
Lagerquist, R.8
Ho, L.9
Basude, M.10
Culp, N.11
Sadate, A.12
Wilson, D.13
Dahan, F.14
Song, J.15
Carlson, B.16
Ko, U.17
-
140
-
-
49549106700
-
A 45 nm 3.5 g baseband-and-multimedia application processor using adaptive body-bias and ultra-low-power techniques
-
Digest of Technical Papers
-
G. Gammie, A. Wang, M. Chau, S. Gururajarao, R. Pitts, F. Jumel, S. Engel, P. Royannez, R. Lagerquist, and H. Mair et al. A 45 nm 3.5 g baseband-and-multimedia application processor using adaptive body-bias and ultra-low-power techniques IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers 2008 258 611
-
(2008)
IEEE International Solid-State Circuits Conference (ISSCC)
, pp. 258-611
-
-
Gammie, G.1
Wang, A.2
Chau, M.3
Gururajarao, S.4
Pitts, R.5
Jumel, F.6
Engel, S.7
Royannez, P.8
Lagerquist, R.9
Mair, H.10
-
141
-
-
51349166333
-
Penryn: 45-nm next generation Intel® core 2 processor
-
V. George, S. Jahagirdar, C. Tong, K. Smits, S. Damaraju, S. Siers, V. Naydenov, T. Khondker, S. Sarkar, and P. Singh Penryn: 45-nm next generation Intel® core 2 processor IEEE Asian Solid-State Circuits Conference (ASSCC) 2007 14 17
-
(2007)
IEEE Asian Solid-State Circuits Conference (ASSCC)
, pp. 14-17
-
-
George, V.1
Jahagirdar, S.2
Tong, C.3
Smits, K.4
Damaraju, S.5
Siers, S.6
Naydenov, V.7
Khondker, T.8
Sarkar, S.9
Singh, P.10
-
143
-
-
18744365842
-
SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction
-
K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction IEEE Journal of Solid-State Circuits 40 4 2005 895 901
-
(2005)
IEEE Journal of Solid-State Circuits
, vol.40
, Issue.4
, pp. 895-901
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Wang, Y.7
Zheng, B.8
Bohr, M.9
-
144
-
-
73249132942
-
A 4.0 GHz 291 Mb voltage-scalable SRAM design in a 32 nm high-k+ metal-gate CMOS technology with integrated power management
-
Y. Wang, U. Bhattacharya, F. Hamzaoglu, P. Kolar, Y. Ng, L. Wei, Y. Zhang, K. Zhang, and M. Bohr A 4.0 GHz 291 Mb voltage-scalable SRAM design in a 32 nm high-k+ metal-gate CMOS technology with integrated power management IEEE Journal of Solid-State Circuits 45 1 2010 103 110
-
(2010)
IEEE Journal of Solid-State Circuits
, vol.45
, Issue.1
, pp. 103-110
-
-
Wang, Y.1
Bhattacharya, U.2
Hamzaoglu, F.3
Kolar, P.4
Ng, Y.5
Wei, L.6
Zhang, Y.7
Zhang, K.8
Bohr, M.9
-
145
-
-
58149263242
-
A 3.8 GHz 153 Mb SRAM design with dynamic stability enhancement and leakage reduction in 45 nm high-k metal gate CMOS technology
-
F. Hamzaoglu, K. Zhang, Y. Wang, H. Ahn, U. Bhattacharya, Z. Chen, Y. Ng, A. Pavlov, K. Smits, and M. Bohr A 3.8 GHz 153 Mb SRAM design with dynamic stability enhancement and leakage reduction in 45 nm high-k metal gate CMOS technology IEEE Journal of Solid-State Circuits 44 1 2009 148 154
-
(2009)
IEEE Journal of Solid-State Circuits
, vol.44
, Issue.1
, pp. 148-154
-
-
Hamzaoglu, F.1
Zhang, K.2
Wang, Y.3
Ahn, H.4
Bhattacharya, U.5
Chen, Z.6
Ng, Y.7
Pavlov, A.8
Smits, K.9
Bohr, M.10
-
146
-
-
34548825093
-
A 1.1 GHz 12μA/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS with integrated leakage reduction for mobile applications
-
Y. Wang, H. Ahn, U. Bhattacharya, T. Coan, F. Hamzaoglu, W. Hafez, C. Jan, R. Kolar, S. Kulkarni, and J. Lin et al. A 1.1 GHz 12μA/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS with integrated leakage reduction for mobile applications IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers 2007 324 606
-
(2007)
IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers
, pp. 324-606
-
-
Wang, Y.1
Ahn, H.2
Bhattacharya, U.3
Coan, T.4
Hamzaoglu, F.5
Hafez, W.6
Jan, C.7
Kolar, R.8
Kulkarni, S.9
Lin, J.10
-
147
-
-
34548822802
-
2 256 kb dual-V/sub cc/SRAM building block in 65 nm CMOS
-
2 256 kb dual-V/sub cc/SRAM building block in 65 nm CMOS IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers 2006 2572 2581
-
(2006)
IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers
, pp. 2572-2581
-
-
Khellah, M.1
Kim, N.2
Howard, J.3
Ruhl, G.4
Ye, Y.5
Tschanz, J.6
Somasekhar, D.7
Borkar, N.8
Hamzaoglu, F.9
Pandya, G.10
-
148
-
-
77954509589
-
Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system
-
ACM
-
S. Kim, and J. Lee Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system 20th Great Lakes Symposium on VLSI ACM 2010 257 262
-
(2010)
20th Great Lakes Symposium on VLSI
, pp. 257-262
-
-
Kim, S.1
Lee, J.2
|