메뉴 건너뛰기




Volumn 4, Issue 1, 2014, Pages 33-43

A survey of architectural techniques for improving cache power efficiency

Author keywords

Cache energy saving techniques; Dynamic energy; Energy efficiency; Green computing; Leakage energy; Power management

Indexed keywords

ENERGY CONSERVATION; ENERGY UTILIZATION; GREEN COMPUTING; POWER MANAGEMENT; SURVEYS;

EID: 84897572369     PISSN: 22105379     EISSN: None     Source Type: Journal    
DOI: 10.1016/j.suscom.2013.11.001     Document Type: Article
Times cited : (119)

References (150)
  • 1
    • 38949154490 scopus 로고    scopus 로고
    • Harnessing green IT: Principles and practices
    • DOI 10.1109/MITP.2008.10
    • S. Murugesan Harnessing green IT: principles and practices IT Professional 10 1 2008 24 33 (Pubitemid 351225229)
    • (2008) IT Professional , vol.10 , Issue.1 , pp. 24-33
    • Murugesan, S.1
  • 2
    • 0032592096 scopus 로고    scopus 로고
    • Design challenges of technology scaling
    • S. Borkar Design challenges of technology scaling Micro IEEE 19 4 1999 23 29
    • (1999) Micro IEEE , vol.19 , Issue.4 , pp. 23-29
    • Borkar, S.1
  • 3
    • 75649138755 scopus 로고    scopus 로고
    • Smartreflex power and performance management technologies for 90 nm, 65 nm, and 45 nm mobile application processors
    • G. Gammie, A. Wang, H. Mair, R. Lagerquist, M. Chau, P. Royannez, S. Gururajarao, and U. Ko Smartreflex power and performance management technologies for 90 nm, 65 nm, and 45 nm mobile application processors Proceedings of the IEEE 98 2 2010 144 159
    • (2010) Proceedings of the IEEE , vol.98 , Issue.2 , pp. 144-159
    • Gammie, G.1    Wang, A.2    Mair, H.3    Lagerquist, R.4    Chau, M.5    Royannez, P.6    Gururajarao, S.7    Ko, U.8
  • 5
    • 34547261834 scopus 로고    scopus 로고
    • Thousand core chips - A technology perspective
    • DOI 10.1109/DAC.2007.375263, 4261282, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
    • S. Borkar Thousand core chips: a technology perspective 44th Annual Design Automation Conference ACM 2007 746 749 (Pubitemid 47130064)
    • (2007) Proceedings - Design Automation Conference , pp. 746-749
    • Borkar, S.1
  • 6
    • 62349138248 scopus 로고    scopus 로고
    • First the Tick, Now the Tock: Next Generation Intel Microarchitecture (Nehalem)
    • First the Tick, Now the Tock: Next Generation Intel Microarchitecture (Nehalem), Tech. Rep., Intel Whitepaper, 2008.
    • (2008) Tech. Rep., Intel Whitepaper
  • 7
    • 58149218299 scopus 로고    scopus 로고
    • A 65 nm 2-billion transistor quad-core Itanium processor
    • B. Stackhouse et al. A 65 nm 2-billion transistor quad-core Itanium processor IEEE Journal of Solid-State Circuits 44 1 2009 18 31
    • (2009) IEEE Journal of Solid-State Circuits , vol.44 , Issue.1 , pp. 18-31
    • Stackhouse, B.1
  • 9
    • 84897577646 scopus 로고    scopus 로고
    • Exploiting critical data regions to reduce data cache energy consumption
    • Bangalore
    • A. Vardhan, and Y. Srikant Exploiting critical data regions to reduce data cache energy consumption Tech. Rep., Indian Institute of Science Bangalore 2013
    • (2013) Tech. Rep., Indian Institute of Science
    • Vardhan, A.1    Srikant, Y.2
  • 11
    • 34247259499 scopus 로고    scopus 로고
    • Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/ 32nm)
    • DOI 10.1145/1165573.1165581, ISLPED'06 - Proceedings of the 2006 International Symposium on Low Power Electronics and Design
    • S. Rodriguez, and B. Jacob Energy/power breakdown of pipelined nanometer caches (90 nm/65 nm/45 nm/32 nm) International Symposium on Low Power Electronics and Design ACM 2006 25 30 (Pubitemid 46613753)
    • (2006) Proceedings of the International Symposium on Low Power Electronics and Design , vol.2006 , pp. 25-30
    • Rodriguez, S.1    Jacob, B.2
  • 26
    • 0036504804 scopus 로고    scopus 로고
    • Access-mode predictions for low-power cache design
    • DOI 10.1109/MM.2002.997880
    • Z. Zhu, and X. Zhang Access-mode predictions for low-power cache design Micro IEEE 22 2 2002 58 71 (Pubitemid 34434064)
    • (2002) IEEE Micro , vol.22 , Issue.2 , pp. 58-71
    • Zhu, Z.1    Zhang, X.2
  • 36
    • 38849204137 scopus 로고    scopus 로고
    • Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors
    • DOI 10.1145/1289816.1289876, CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
    • C. Yu, and P. Petrov Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis 2007 245 250 (Pubitemid 351203974)
    • (2007) CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis , pp. 245-250
    • Yu, C.1    Peter Petrov, P.2
  • 44
    • 77955663113 scopus 로고    scopus 로고
    • Compressed tag architecture for low-power embedded cache systems
    • J. Kwak, and Y. Jeon Compressed tag architecture for low-power embedded cache systems Journal of Systems Architecture 56 9 2010 419 428
    • (2010) Journal of Systems Architecture , vol.56 , Issue.9 , pp. 419-428
    • Kwak, J.1    Jeon, Y.2
  • 46
    • 84857716035 scopus 로고    scopus 로고
    • Using partial tag comparison in low-power snoop-based chip multiprocessors
    • A. Varbanescu, A. Molnos, R. van, Nieuwpoort Springer Berlin, Heidelberg
    • A. Shafiee, N. Shahidi, and A. Baniasadi Using partial tag comparison in low-power snoop-based chip multiprocessors A. Varbanescu, A. Molnos, R. van, Nieuwpoort Computer Architecture, vol. 6161 of Lecture Notes in Computer Science 2012 Springer Berlin, Heidelberg 211 221
    • (2012) Computer Architecture, Vol. 6161 of Lecture Notes in Computer Science , pp. 211-221
    • Shafiee, A.1    Shahidi, N.2    Baniasadi, A.3
  • 47
    • 72349085599 scopus 로고    scopus 로고
    • Robtic: An on-chip instruction cache design for low power embedded systems
    • J. Gu, H. Guo, and P. Li Robtic: An on-chip instruction cache design for low power embedded systems 15th IEEE RTCSA 2009 419 424
    • (2009) 15th IEEE RTCSA , pp. 419-424
    • Gu, J.1    Guo, H.2    Li, P.3
  • 53
    • 52649125840 scopus 로고    scopus 로고
    • 3D-stacked memory architectures for multi-core processors
    • G.H. Loh 3D-stacked memory architectures for multi-core processors ACM SIGARCH Computer Architecture News 36 2008 453 464
    • (2008) ACM SIGARCH Computer Architecture News , vol.36 , pp. 453-464
    • Loh, G.H.1
  • 54
    • 84897573458 scopus 로고    scopus 로고
    • http://www.tezzaron.com/technology/FaStack.htm (2013).
    • (2013)
  • 61
    • 0036051046 scopus 로고    scopus 로고
    • DRG-cache: A data retention gated-ground cache for low power
    • A. Agarwal, H. Li, and K. Roy DRG-cache: a data retention gated-ground cache for low power Design Automation Conference 2002 473 478
    • (2002) Design Automation Conference , pp. 473-478
    • Agarwal, A.1    Li, H.2    Roy, K.3
  • 64
    • 33644649522 scopus 로고    scopus 로고
    • Exploiting temporal locality in drowsy cache policies
    • DOI 10.1145/1062261.1062321, 2005 Computing Frontiers Conference
    • S. Petit, J. Sahuquillo, J. Such, and D. Kaeli Exploiting temporal locality in drowsy cache policies 2nd Conference on Computing Frontiers ACM 2005 371 377 (Pubitemid 43325374)
    • (2005) 2005 Computing Frontiers Conference , pp. 371-377
    • Petit, S.1    Sahuquillo, J.2    Such, J.M.3    Kaeli, D.4
  • 65
    • 33644657126 scopus 로고    scopus 로고
    • Controlling leakage power with the replacement policy in slumberous caches
    • DOI 10.1145/1062261.1062290, 2005 Computing Frontiers Conference
    • N. Mohyuddin, R. Bhatti, and M. Dubois Controlling leakage power with the replacement policy in slumberous caches 2nd Conference on Computing Frontiers ACM 2005 161 170 (Pubitemid 43325351)
    • (2005) 2005 Computing Frontiers Conference , pp. 161-170
    • Mohyuddin, N.1    Bhatti, R.2    Dubois, M.3
  • 68
    • 36849054473 scopus 로고    scopus 로고
    • On-demand solution to minimize I-cache leakage energy with maintaining performance
    • DOI 10.1109/TC.2007.70770
    • S. Chung, and K. Skadron On-demand solution to minimize I-Cache leakage energy with maintaining performance IEEE Transactions on Computers 57 1 2008 7 24 (Pubitemid 350225915)
    • (2008) IEEE Transactions on Computers , vol.57 , Issue.1 , pp. 7-24
    • Chung, S.W.1    Skadron, K.2
  • 69
    • 33644988883 scopus 로고    scopus 로고
    • Distance-based recent use (DRU): An enhancement to instruction cache replacement policies for transition energy reduction
    • P. Kalla, X.S. Hu, and J. Henkel Distance-based recent use (DRU): an enhancement to instruction cache replacement policies for transition energy reduction IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14 1 2006 69 80
    • (2006) IEEE Transactions on Very Large Scale Integration (VLSI) Systems , vol.14 , Issue.1 , pp. 69-80
    • Kalla, P.1    Hu, X.S.2    Henkel, J.3
  • 78
    • 84855714028 scopus 로고    scopus 로고
    • Leakage energy reduction in cache memory by data compression
    • K. Tanaka, and T. Kawahara Leakage energy reduction in cache memory by data compression ACM SIGARCH Computer Architecture News 35 5 2007 17 24
    • (2007) ACM SIGARCH Computer Architecture News , vol.35 , Issue.5 , pp. 17-24
    • Tanaka, K.1    Kawahara, T.2
  • 79
    • 28444461154 scopus 로고    scopus 로고
    • Snug set-associative caches : Reducing leakage power while improving performance
    • ISLPED'05 - Proceedings of the 2005 International Symposium on Low Power Electronics and Design
    • J. Li, and Y. Hwang Snug set-associative caches: reducing leakage power while improving performance International Symposium on Low Power Electronics and Design 2005 345 350 (Pubitemid 41731683)
    • (2005) Proceedings of the International Symposium on Low Power Electronics and Design , pp. 345-350
    • Li, J.-J.1    Hwang, Y.-S.2
  • 80
    • 80054679382 scopus 로고    scopus 로고
    • A leakage-aware L2 cache management technique for producer-consumer sharing in low-power chip multiprocessors
    • H. Kim, and J. Kim A leakage-aware L2 cache management technique for producer-consumer sharing in low-power chip multiprocessors Journal of Parallel and Distributed Computing 2011
    • (2011) Journal of Parallel and Distributed Computing
    • Kim, H.1    Kim, J.2
  • 84
    • 84894846249 scopus 로고    scopus 로고
    • Palette: A cache leakage energy saving technique for green computing
    • C. Catlett, W. Gentzsch, L. Grandinetti, G. Joubert, J. Vazquez-Poletti, IOS Press
    • S. Mittal, and Z. Zhang Palette: a cache leakage energy saving technique for green computing. C. Catlett, W. Gentzsch, L. Grandinetti, G. Joubert, J. Vazquez-Poletti, HPC: Transition Towards Exascale Processing, Advances in Parallel Computing 2013 IOS Press
    • (2013) HPC: Transition Towards Exascale Processing, Advances in Parallel Computing
    • Mittal, S.1    Zhang, Z.2
  • 86
    • 48049117328 scopus 로고    scopus 로고
    • Virtual exclusion: An architectural approach to reducing leakage energy in caches for multiprocessor systems
    • IEEE
    • M. Ghosh, and H. Lee Virtual exclusion: an architectural approach to reducing leakage energy in caches for multiprocessor systems International Conference on Parallel and Distributed Systems, vol. 2 IEEE 2007 1 8
    • (2007) International Conference on Parallel and Distributed Systems, Vol. 2 , pp. 1-8
    • Ghosh, M.1    Lee, H.2
  • 91
    • 63549102138 scopus 로고    scopus 로고
    • Multi-optimization power management for chip multiprocessors
    • K. Meng, R. Joseph, R. Dick, and L. Shang Multi-optimization power management for chip multiprocessors PACT 2008 177 186
    • (2008) PACT , pp. 177-186
    • Meng, K.1    Joseph, R.2    Dick, R.3    Shang, L.4
  • 99
    • 77949462086 scopus 로고    scopus 로고
    • Cache partitioning for energy-efficient and interference-free embedded multitasking
    • R. Reddy, and P. Petrov Cache partitioning for energy-efficient and interference-free embedded multitasking ACM Transactions on Embedded Computing Systems (TECS) 9 3 2010 16
    • (2010) ACM Transactions on Embedded Computing Systems (TECS) , vol.9 , Issue.3 , pp. 16
    • Reddy, R.1    Petrov, P.2
  • 100
    • 70349481943 scopus 로고    scopus 로고
    • Dynamic reconfiguration of two-level caches in soft real-time embedded systems
    • W. Wang, and P. Mishra Dynamic reconfiguration of two-level caches in soft real-time embedded systems IEEE Computer Society Annual Symposium on VLSI 2009 145 150
    • (2009) IEEE Computer Society Annual Symposium on VLSI , pp. 145-150
    • Wang, W.1    Mishra, P.2
  • 101
    • 80052672484 scopus 로고    scopus 로고
    • Dynamic cache reconfiguration and partitioning for energy optimization in real-time multicore systems
    • W. Wang, P. Mishra, and S. Ranka Dynamic cache reconfiguration and partitioning for energy optimization in real-time multicore systems 48th Design Automation Conference 2011 948 953
    • (2011) 48th Design Automation Conference , pp. 948-953
    • Wang, W.1    Mishra, P.2    Ranka, S.3
  • 104
    • 4444254095 scopus 로고    scopus 로고
    • System level leakage reduction considering the interdependence of temperature and leakage
    • L. He, W. Liao, and M. Stan System level leakage reduction considering the interdependence of temperature and leakage Design Automation Conference 2004 12 17
    • (2004) Design Automation Conference , pp. 12-17
    • He, L.1    Liao, W.2    Stan, M.3
  • 110
    • 84862971010 scopus 로고    scopus 로고
    • Bandwidth-aware reconfigurable cache design with hybrid memory technologies
    • IEEE Press
    • J. Zhao, C. Xu, and Y. Xie Bandwidth-aware reconfigurable cache design with hybrid memory technologies International Conference on Computer-Aided Design 2010 IEEE Press 48 55
    • (2010) International Conference on Computer-Aided Design , pp. 48-55
    • Zhao, J.1    Xu, C.2    Xie, Y.3
  • 113
    • 80053000120 scopus 로고    scopus 로고
    • Cache-aware utilization control for energy efficiency in multi-core real-time systems
    • IEEE
    • X. Fu, K. Kabir, and X. Wang Cache-aware utilization control for energy efficiency in multi-core real-time systems 23rd Euromicro Conference on Real-Time Systems (ECRTS) IEEE 2011 102 111
    • (2011) 23rd Euromicro Conference on Real-Time Systems (ECRTS) , pp. 102-111
    • Fu, X.1    Kabir, K.2    Wang, X.3
  • 115
    • 84867643144 scopus 로고    scopus 로고
    • Dynamic last-level cache allocation to reduce area and power overhead in directory coherence protocols
    • C. Kaklamanis, T. Papatheodorou, P. Spirakis, Springer
    • M. Lodde, and et al. Dynamic last-level cache allocation to reduce area and power overhead in directory coherence protocols C. Kaklamanis, T. Papatheodorou, P. Spirakis, Euro-Par 2012 Parallel Processing, vol. 7484 of Lecture Notes in Computer Science 2012 Springer 206 218
    • (2012) Euro-Par 2012 Parallel Processing, Vol. 7484 of Lecture Notes in Computer Science , pp. 206-218
    • Lodde, M.1
  • 117
    • 4444368993 scopus 로고    scopus 로고
    • Leakage aware dynamic voltage scaling for real-time embedded systems
    • IEEE
    • R. Jejurikar, C. Pereira, and R. Gupta Leakage aware dynamic voltage scaling for real-time embedded systems Design Automation Conference IEEE 2004 275 280
    • (2004) Design Automation Conference , pp. 275-280
    • Jejurikar, R.1    Pereira, C.2    Gupta, R.3
  • 118
    • 77949974202 scopus 로고    scopus 로고
    • Leakage-aware energy minimization using dynamic voltage scaling and cache reconfiguration in real-time systems
    • W. Wang, and P. Mishra Leakage-aware energy minimization using dynamic voltage scaling and cache reconfiguration in real-time systems 23rd International Conference on VLSI Design 2010 357 362
    • (2010) 23rd International Conference on VLSI Design , pp. 357-362
    • Wang, W.1    Mishra, P.2
  • 119
    • 70350712417 scopus 로고    scopus 로고
    • A DVS-based pipelined reconfigurable instruction memory
    • Z. Ge, T. Mitra, and W. Wong A DVS-based pipelined reconfigurable instruction memory Design Automation Conference 2009 897 902
    • (2009) Design Automation Conference , pp. 897-902
    • Ge, Z.1    Mitra, T.2    Wong, W.3
  • 121
    • 84863390501 scopus 로고    scopus 로고
    • Residue cache: A low-energy low-area L2 cache architecture via compression and partial hits
    • S. Kim, J. Lee, J. Kim, and S. Hong Residue cache: a low-energy low-area L2 cache architecture via compression and partial hits International Symposium on Microarchitecture 2011 420 429
    • (2011) International Symposium on Microarchitecture , pp. 420-429
    • Kim, S.1    Lee, J.2    Kim, J.3    Hong, S.4
  • 123
    • 79955534230 scopus 로고    scopus 로고
    • TACLC: Timing-aware cache leakage control for hard real-time systems
    • Y.-J. Chen, C.-L. Yang, J.-W. Chi, and J.-J. Chen TACLC: timing-aware cache leakage control for hard real-time systems IEEE Trans. Comput. 60 6 2011 767 782
    • (2011) IEEE Trans. Comput. , vol.60 , Issue.6 , pp. 767-782
    • Chen, Y.-J.1    Yang, C.-L.2    Chi, J.-W.3    Chen, J.-J.4
  • 124
    • 79952850907 scopus 로고    scopus 로고
    • A general algorithm for energy-aware dynamic reconfiguration in multitasking systems
    • W. Wang, S. Ranka, and P. Mishra A general algorithm for energy-aware dynamic reconfiguration in multitasking systems 24th International Conference on VLSI Design 2011 334 339
    • (2011) 24th International Conference on VLSI Design , pp. 334-339
    • Wang, W.1    Ranka, S.2    Mishra, P.3
  • 125
    • 85027929285 scopus 로고    scopus 로고
    • Dynamically Adaptive I-Cache Partitioning for Energy-Efficient Embedded Multitasking
    • M. Paul, and P. Petrov Dynamically Adaptive I-Cache Partitioning for Energy-Efficient Embedded Multitasking. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 11 2011 2067 2080
    • (2011) IEEE Transactions on Very Large Scale Integration (VLSI) Systems , vol.19 , Issue.11 , pp. 2067-2080
    • Paul, M.1    Petrov, P.2
  • 128
    • 34548042910 scopus 로고    scopus 로고
    • Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
    • DOI 10.1109/MICRO.2006.49, 4041865, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
    • M.K. Qureshi, and Y.N. Patt Utility-based cache partitioning: a low-overhead, high-performance, runtime mechanism to partition shared caches International Symposium on Microarchitecture 2006 423 432 (Pubitemid 351337015)
    • (2006) Proceedings of the Annual International Symposium on Microarchitecture, MICRO , pp. 423-432
    • Qureshi, M.K.1    Patt, Y.N.2
  • 148
    • 77954509589 scopus 로고    scopus 로고
    • Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system
    • ACM
    • S. Kim, and J. Lee Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system 20th Great Lakes Symposium on VLSI ACM 2010 257 262
    • (2010) 20th Great Lakes Symposium on VLSI , pp. 257-262
    • Kim, S.1    Lee, J.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.