메뉴 건너뛰기




Volumn , Issue , 2013, Pages

A generalized software framework for accurate and efficient management of performance goals

Author keywords

Adaptive systems; Power aware computing; Self aware computing

Indexed keywords

ADAPTIVE SYSTEMS; COMPUTER OPERATING SYSTEMS; COMPUTER PROGRAMMING; ELECTRIC POWER UTILIZATION; EMBEDDED SOFTWARE; POWER MANAGEMENT;

EID: 84892654929     PISSN: None     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/EMSOFT.2013.6658597     Document Type: Conference Paper
Times cited : (60)

References (47)
  • 1
    • 84892638357 scopus 로고    scopus 로고
    • Wattsup.net meter
    • Wattsup.net meter. http://www.wattsupmeters.com/.
  • 2
    • 0034461413 scopus 로고    scopus 로고
    • Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures
    • R. Balasubramonian et al. Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures. In MICRO, 2000.
    • (2000) MICRO
    • Balasubramonian, R.1
  • 3
    • 63549095070 scopus 로고    scopus 로고
    • The PARSEC benchmark suite: Characterization and architectural implications
    • Oct
    • C. Bienia et al. The PARSEC benchmark suite: Characterization and architectural implications. In PACT, Oct 2008.
    • (2008) PACT
    • Bienia, C.1
  • 4
    • 66749161432 scopus 로고    scopus 로고
    • Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach
    • R. Bitirgen et al. Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. In MICRO, 2008.
    • (2008) MICRO
    • Bitirgen, R.1
  • 5
    • 79959587779 scopus 로고    scopus 로고
    • Predictive coordination of multiple on-chip resources for chip multiprocessors
    • J. Chen and L. K. John. Predictive coordination of multiple on-chip resources for chip multiprocessors. In ICS, 2011.
    • (2011) ICS
    • Chen, J.1    John, L.K.2
  • 6
    • 84858763476 scopus 로고    scopus 로고
    • Pack & cap: Adaptive dvfs and thread packing under power caps
    • R. Cochran et al. Pack & cap: adaptive dvfs and thread packing under power caps. In MICRO, 2011.
    • (2011) MICRO
    • Cochran, R.1
  • 7
    • 35348903171 scopus 로고    scopus 로고
    • Limiting the power consumption of main memory
    • B. Diniz et al. Limiting the power consumption of main memory. In ISCA, 2007.
    • (2007) ISCA
    • Diniz, B.1
  • 8
    • 79951697270 scopus 로고    scopus 로고
    • A predictive model for dynamic microarchitectural adaptivity control
    • C. Dubach et al. A predictive model for dynamic microarchitectural adaptivity control. In MICRO, 2010.
    • (2010) MICRO
    • Dubach, C.1
  • 9
    • 80052528714 scopus 로고    scopus 로고
    • Dark silicon and the end of multicore scaling
    • H. Esmaeilzadeh et al. Dark silicon and the end of multicore scaling. In ISCA, 2011.
    • (2011) ISCA
    • Esmaeilzadeh, H.1
  • 10
    • 32844455395 scopus 로고    scopus 로고
    • A performance-conserving approach for reducing peak power consumption in server systems
    • W. Felter et al. A performance-conserving approach for reducing peak power consumption in server systems. In ICS, 2005.
    • (2005) ICS
    • Felter, W.1
  • 13
    • 84877752450 scopus 로고    scopus 로고
    • The datacenter as a computer: An introduction to the design of warehouse-scale machines
    • 1st edition
    • U. Hoelzle and L. A. Barroso. The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale Machines. Morgan and Claypool Publishers, 1st edition, 2009.
    • (2009) Morgan and Claypool Publishers
    • Hoelzle, U.1    Barroso, L.A.2
  • 14
    • 77954728886 scopus 로고    scopus 로고
    • Application heartbeats: A generic interface for specifying program performance and goals in autonomous computing environments
    • H. Hoffmann et al. Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments. In ICAC, 2010.
    • (2010) ICAC
    • Hoffmann, H.1
  • 15
    • 79953120063 scopus 로고    scopus 로고
    • Dynamic knobs for responsive power-aware computing
    • H. Hoffmann et al. Dynamic knobs for responsive power-aware computing. In ASPLOS, 2011.
    • (2011) ASPLOS
    • Hoffmann, H.1
  • 16
    • 84857165143 scopus 로고    scopus 로고
    • SEEC: A general and extensible framework for self-aware computing
    • MIT, November
    • H. Hoffmann et al. SEEC: A General and Extensible Framework for Self-Aware Computing. Technical Report MIT-CSAIL-TR-2011-046, MIT, November 2011.
    • (2011) Technical Report MIT-CSAIL-TR-2011-046
    • Hoffmann, H.1
  • 17
    • 84863546818 scopus 로고    scopus 로고
    • Self-aware computing in the angstrom processor
    • H. Hoffmann et al. Self-aware computing in the angstrom processor. In DAC, 2012.
    • (2012) DAC
    • Hoffmann, H.1
  • 18
    • 84892654929 scopus 로고    scopus 로고
    • A generalized software framework for accurate and efficient management of performance goals
    • University of Chicago, August
    • H. Hoffmann et al. A Generalized Software Framework for Accurate and Efficient Management of Performance Goals. Technical Report TR-2013-05, University of Chicago, August 2013.
    • (2013) Technical Report TR-2013-05
    • Hoffmann, H.1
  • 19
    • 34047123131 scopus 로고    scopus 로고
    • Dynamic voltage scaling in multitier web servers with end-to-end delay control
    • april
    • T. Horvath et al. Dynamic voltage scaling in multitier web servers with end-to-end delay control. Computers, IEEE Transactions on, 56(4):444-458, april 2007.
    • (2007) Computers, IEEE Transactions on , vol.56 , Issue.4 , pp. 444-458
    • Horvath, T.1
  • 20
    • 78249255248 scopus 로고    scopus 로고
    • A precise high-level power consumption model for embedded systems software
    • Jan
    • M. E. A. Ibrahim et al. A precise high-level power consumption model for embedded systems software. EURASIP J. Embedded Syst., 2011:1:1-1:14, Jan. 2011.
    • (2011) EURASIP J. Embedded Syst., 2011 , pp. 1-14
    • Ibrahim, M.E.A.1
  • 21
    • 36949001469 scopus 로고    scopus 로고
    • An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
    • C. Isci et al. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In MICRO, 2006.
    • (2006) MICRO
    • Isci, C.1
  • 22
    • 70350060187 scopus 로고    scopus 로고
    • Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration
    • A. B. Kahng et al. Orion 2.0: a fast and accurate noc power and area model for early-stage design space exploration. In DATE, 2009.
    • (2009) DATE
    • Kahng, A.B.1
  • 23
    • 40949106466 scopus 로고    scopus 로고
    • Power capping: A prelude to power shifting
    • C. Lefurgy et al. Power capping: a prelude to power shifting. Cluster Computing, 11(2):183-195, 2008.
    • (2008) Cluster Computing , vol.11 , Issue.2 , pp. 183-195
    • Lefurgy, C.1
  • 24
    • 76749146060 scopus 로고    scopus 로고
    • McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
    • S. Li et al. McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO, 2009.
    • (2009) MICRO
    • Li, S.1
  • 25
    • 85013771039 scopus 로고    scopus 로고
    • Performance directed energy management for main memory and disks
    • Aug
    • X. Li et al. Performance directed energy management for main memory and disks. Trans. Storage, 1(3):346-380, Aug. 2005.
    • (2005) Trans. Storage , vol.1 , Issue.3 , pp. 346-380
    • Li, X.1
  • 26
    • 85013786846 scopus 로고    scopus 로고
    • Cross-component energy management: Joint adaptation of processor and memory
    • Sept
    • X. Li et al. Cross-component energy management: Joint adaptation of processor and memory. ACM Trans. Archit. Code Optim., 4(3), Sept. 2007.
    • (2007) ACM Trans. Archit. Code Optim. , vol.4 , Issue.3
    • Li, X.1
  • 27
    • 79953087026 scopus 로고    scopus 로고
    • Controlling software applications via resource allocation within the heartbeats framework
    • M. Maggio et al. Controlling software applications via resource allocation within the heartbeats framework. In CDC, 2010.
    • (2010) CDC
    • Maggio, M.1
  • 28
    • 84871818936 scopus 로고    scopus 로고
    • Power optimization in embedded systems via feedback control of resource allocation
    • M. Maggio et al. Power optimization in embedded systems via feedback control of resource allocation. IEEE Transactions on Control Systems Technology, 21(1):239-246, 2013.
    • (2013) IEEE Transactions on Control Systems Technology , vol.21 , Issue.1 , pp. 239-246
    • Maggio, M.1
  • 29
    • 0038998034 scopus 로고
    • Memory bandwidth and machine balance in current high performance computers
    • Dec
    • J. D. McCalpin. Memory bandwidth and machine balance in current high performance computers. IEEE TCCA Newsletter, pages 19-25, Dec. 1995.
    • (1995) IEEE TCCA Newsletter , pp. 19-25
    • McCalpin, J.D.1
  • 30
    • 80052548963 scopus 로고    scopus 로고
    • Power management of online data-intensive services
    • D. Meisner et al. Power management of online data-intensive services. ISCA, 2011.
    • (2011) ISCA
    • Meisner, D.1
  • 31
    • 77952563226 scopus 로고    scopus 로고
    • Graphite: A distributed parallel simulator for multicores
    • J. E. Miller et al. Graphite: A distributed parallel simulator for multicores. In HPCA, 2010.
    • (2010) HPCA
    • Miller, J.E.1
  • 32
    • 60549116732 scopus 로고    scopus 로고
    • No "power" struggles: Coordinated multi-level power management for the data center
    • R. Raghavendra et al. No "power" struggles: coordinated multi-level power management for the data center. In ASPLOS, 2008.
    • (2008) ASPLOS
    • Raghavendra, R.1
  • 33
    • 70450253535 scopus 로고    scopus 로고
    • Thread motion: Fine-grained power management for multi-core systems
    • K. K. Rangan et al. Thread motion: fine-grained power management for multi-core systems. In ISCA, 2009.
    • (2009) ISCA
    • Rangan, K.K.1
  • 34
    • 84869195924 scopus 로고    scopus 로고
    • Adaptive power capping for servers with multithreaded workloads
    • S. Reda et al. Adaptive power capping for servers with multithreaded workloads. IEEE Micro, 32(5):64-75, 2012.
    • (2012) IEEE Micro , vol.32 , Issue.5 , pp. 64-75
    • Reda, S.1
  • 35
    • 84863540445 scopus 로고    scopus 로고
    • Power management architecture of the 2nd generation intel core microarchitecture, formerly codenamed sandy bridge
    • Aug
    • E. Rotem et al. Power management architecture of the 2nd generation Intel Core microarchitecture, formerly codenamed Sandy Bridge. In Hot Chips, Aug. 2011.
    • (2011) Hot Chips
    • Rotem, E.1
  • 36
    • 84892655102 scopus 로고    scopus 로고
    • Mete: Meeting end-to-end qos in multicores through system-wide resource management
    • A. Sharifi, S. Srikantaiah, A. K. Mishra, M. Kandemir, and C. R. Das. Mete: meeting end-to-end qos in multicores through system-wide resource management. In SIGMETRICS, 2011.
    • (2011) SIGMETRICS
    • Sharifi, A.1    Srikantaiah, S.2    Mishra, A.K.3    Kandemir, M.4    Das, C.R.5
  • 37
    • 52649139073 scopus 로고    scopus 로고
    • A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies
    • S. Thoziyoor et al. A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies. In ISCA, 2008.
    • (2008) ISCA
    • Thoziyoor, S.1
  • 38
    • 77952256041 scopus 로고    scopus 로고
    • Conservation cores: Reducing the energy of mature computations
    • G. Venkatesh et al. Conservation cores: reducing the energy of mature computations. In ASPLOS, 2010.
    • (2010) ASPLOS
    • Venkatesh, G.1
  • 39
    • 78049493370 scopus 로고    scopus 로고
    • Server workload analysis for power minimization using consolidation
    • A. Verma et al. Server workload analysis for power minimization using consolidation. In USENIX Annual technical conference, 2009.
    • (2009) USENIX Annual Technical Conference
    • Verma, A.1
  • 40
    • 77956178362 scopus 로고    scopus 로고
    • MIMO power control for high-density servers in an enclosure
    • X. Wang et al. MIMO power control for high-density servers in an enclosure. IEEE Transactions on Parallel and Distributed Systems, 21(10):1412-1426, 2010.
    • (2010) IEEE Transactions on Parallel and Distributed Systems , vol.21 , Issue.10 , pp. 1412-1426
    • Wang, X.1
  • 41
    • 79955750805 scopus 로고    scopus 로고
    • Technical Report TR 95-041, UNC Chapel Hill, Department of Computer Science
    • G. Welch and G. Bishop. An introduction to the kalman filter. Technical Report TR 95-041, UNC Chapel Hill, Department of Computer Science.
    • An Introduction to the Kalman Filter
    • Welch, G.1    Bishop, G.2
  • 42
    • 78149278347 scopus 로고    scopus 로고
    • Scalable thread scheduling and global power management for heterogeneous many-core architectures
    • J. A. Winter et al. Scalable thread scheduling and global power management for heterogeneous many-core architectures. In PACT, 2010.
    • (2010) PACT
    • Winter, J.A.1
  • 43
    • 0029179077 scopus 로고
    • The splash-2 programs: Characterization and methodological considerations
    • May
    • S. C. Woo et al. The splash-2 programs: characterization and methodological considerations. SIGARCH Comput. Archit. News, 23:24-36, May 1995.
    • (1995) SIGARCH Comput. Archit. News , vol.23 , pp. 24-36
    • Woo, S.C.1
  • 44
    • 12844283854 scopus 로고    scopus 로고
    • Formal online methods for voltage/frequency control in multiple clock domain microprocessors
    • Q. Wu et al. Formal online methods for voltage/frequency control in multiple clock domain microprocessors. In ASPLOS, 2004.
    • (2004) ASPLOS
    • Wu, Q.1
  • 45
    • 0036367561 scopus 로고    scopus 로고
    • Controlware: A middleware architecture for feedback control of software performance
    • R. Zhang et al. Controlware: A middleware architecture for feedback control of software performance. In ICDCS, 2002.
    • (2002) ICDCS
    • Zhang, R.1
  • 46
    • 84871107013 scopus 로고    scopus 로고
    • A flexible framework for throttling-enabled multicore management (temm)
    • X. Zhang et al. A flexible framework for throttling-enabled multicore management (temm). In ICPP, 2012.
    • (2012) ICPP
    • Zhang, X.1
  • 47
    • 66749162556 scopus 로고    scopus 로고
    • Mini-rank: Adaptive dram architecture for improving memory power efficiency
    • H. Zheng et al. Mini-rank: Adaptive dram architecture for improving memory power efficiency. In MICRO, 2008.
    • (2008) MICRO
    • Zheng, H.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.