-
4
-
-
0025558645
-
Efficient implementation of a BDD package
-
Karl Brace, Richard Rudell, and Randal E. Bryant. Efficient implementation of a BDD package. In DAC, Proceedings of Design Automation Conference, pages 40-45, 1990.
-
(1990)
DAC, Proceedings of Design Automation Conference
, pp. 40-45
-
-
Brace, K.1
Rudell, R.2
Bryant, R.E.3
-
7
-
-
0029230835
-
Test program generation for functional verification of PowerPC processors in IBM
-
June
-
Aharon Aharon, Dave Goodman, Moshe Levinger, Yossi Lichtenstein, Yossi Malka, Charlotte Metzger, Moshe Molcho, and Gil Shurek. Test program generation for functional verification of PowerPC processors in IBM. In DAC, Proceedings of Design Automation Conference, pages 279-285, June 1995.
-
(1995)
DAC, Proceedings of Design Automation Conference
, pp. 279-285
-
-
Aharon, A.1
Goodman, D.2
Levinger, M.3
Lichtenstein, Y.4
Malka, Y.5
Metzger, C.6
Molcho, M.7
Shurek, G.8
-
8
-
-
0032630135
-
Formal verification using parametric representations of Boolean constraints
-
June
-
Mark D. Aagaard, Robert B. Jones, and Carl-Johan H. Seger. Formal verification using parametric representations of Boolean constraints. In DAC, Proceedings of Design Automation Conference, pages 402-407, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 402-407
-
-
Aagaard, M.D.1
Jones, R.B.2
Seger, C.H.3
-
10
-
-
0023172731
-
COSMOS: A compiled simulator for MOS circuits
-
June
-
Randal E. Bryant, Derek Beatty, Karl Brace, Kyeongsoon Cho, and Thomas Sheffler. COSMOS: A compiled simulator for MOS circuits. In DAC, Proceedings of Design Automation Conference, pages 9-16, June 1987.
-
(1987)
DAC, Proceedings of Design Automation Conference
, pp. 9-16
-
-
Bryant, R.E.1
Beatty, D.2
Brace, K.3
Cho, K.4
Sheffler, T.5
-
11
-
-
0024913805
-
Combinational profiles of sequential benchmark circuits
-
May
-
Franc Brglez, David Bryan, and Krzysztof Kozmiriski. Combinational profiles of sequential benchmark circuits. In ISCAS, Proceedings of the International Symposyium on Circuits and Systems, pages 1929-1934, May 1989.
-
(1989)
ISCAS, Proceedings of the International Symposyium on Circuits and Systems
, pp. 1929-1934
-
-
Brglez, F.1
Bryan, D.2
Kozmiriski, K.3
-
14
-
-
0028413136
-
Symbolic model checking for sequential circuit verification
-
Jerry R. Burch, Edward M. Clarke, David E. Long, Ken L. McMillan, and David L. Dill. Symbolic model checking for sequential circuit verification. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 13(4):401-424, 1994.
-
(1994)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.13
, Issue.4
, pp. 401-424
-
-
Burch, J.R.1
Clarke, E.M.2
Long, D.E.3
McMillan, K.L.4
Dill, D.L.5
-
15
-
-
0023384119
-
HSS - A high-speed simulator
-
July
-
Zeev Barzilai, J. LawrenceCarter, Barry K. Rosen, and Joseph D. Rutledge. HSS - a high-speed simulator. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pages 601-617, July 1987.
-
(1987)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, pp. 601-617
-
-
Barzilai, Z.1
Lawrence Carter, J.2
Rosen, B.K.3
Rutledge, J.D.4
-
19
-
-
0032641928
-
Cycle-based symbolic simulation of gate-level synchronous circuits
-
June
-
Valeria Bertacco, Maurizio Damiani, and Stefano Quer. Cycle-based symbolic simulation of gate-level synchronous circuits. In DAC, Proceedings of Design Automation Conference, pages 391-396, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 391-396
-
-
Bertacco, V.1
Damiani, M.2
Quer, S.3
-
27
-
-
0025558645
-
Efficient implementation of a BDD package
-
Karl Brace, Richard Rudell, and Randal E. Bryant. Efficient implementation of a BDD package. In DAC, Proceedings of Design Automation Conference, pages 40-45, 1990.
-
(1990)
DAC, Proceedings of Design Automation Conference
, pp. 40-45
-
-
Brace, K.1
Rudell, R.2
Bryant, R.E.3
-
28
-
-
33747834679
-
MIS: A multiple-level logic optimization system
-
November
-
Robert K. Brayton, Richard Rudell, Alberto Sangiovanni-Vincentelli, and Albert R. Wang. MIS: A multiple-level logic optimization system. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 6(6):1062-1081, November 1987.
-
(1987)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.6
, Issue.6
, pp. 1062-1081
-
-
Brayton, R.K.1
Rudell, R.2
Sangiovanni-Vincentelli, A.3
Wang, A.R.4
-
30
-
-
0022769976
-
Graph-based algorithms for Boolean function manipulation
-
August
-
Randal E. Bryant. Graph-based algorithms for Boolean function manipulation. IEEE Transactions on Computers, 35(8):677-691, August 1986.
-
(1986)
IEEE Transactions on Computers
, vol.35
, Issue.8
, pp. 677-691
-
-
Bryant, R.E.1
-
31
-
-
0026913667
-
Symbolic Boolean manipulation with ordered binarydecision diagrams
-
September
-
Randal E. Bryant. Symbolic Boolean manipulation with ordered binarydecision diagrams. ACM Computing Surveys, 24(3):293-318, September 1992.
-
(1992)
ACM Computing Surveys
, vol.24
, Issue.3
, pp. 293-318
-
-
Bryant, R.E.1
-
32
-
-
84856140605
-
Verification of synchronous sequential machines based on symbolic execution
-
Springer, June
-
Olivier Coudert, Christian Berthet, and Jean Christophe Madre. Verification of synchronous sequential machines based on symbolic execution. In Automatic Verification Methods for Finite State Systems, International Workshop, volume 407 of Lecture Notes in Computer Science, pages 365-3. Springer, June 1989.
-
(1989)
Automatic Verification Methods for Finite State Systems, International Workshop, Volume 407 of Lecture Notes in Computer Science
, pp. 365-363
-
-
Coudert, O.1
Berthet, C.2
Madre, J.C.3
-
33
-
-
0030672545
-
Disjunctive partitioning and partial iterative squaring: An effective approach for symbolic traversal of large circuits
-
June
-
Gianpiero Cabodi, Paolo Camurati, Luciano Lavagno, and Stefano Quer. Disjunctive partitioning and partial iterative squaring: an effective approach for symbolic traversal of large circuits. In DAC, Proceedings of Design Automation Conference, pages 728-733, June 1997.
-
(1997)
DAC, Proceedings of Design Automation Conference
, pp. 728-733
-
-
Cabodi, G.1
Camurati, P.2
Lavagno, L.3
Quer, S.4
-
34
-
-
0030416289
-
Improved reachability analysis of large finite state machine
-
November
-
Gianpiero Cabodi, Paolo Camurati, and Stefano Quer. Improved reachability analysis of large finite state machine. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 354-360, November 1996.
-
(1996)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 354-360
-
-
Cabodi, G.1
Camurati, P.2
Quer, S.3
-
35
-
-
0000574517
-
AVPGEN - A test generator for architecture verification
-
June
-
A. Chandra, V. Iyengar, D. Jameson, R. Jawalekar, I. Nair, B. Rosen, M. Mullen, J. Yoon, R. Armoni, D. Geist, and Y. Wolfsthal. AVPGEN - a test generator for architecture verification. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 3(2):188-200, June 1995.
-
(1995)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.3
, Issue.2
, pp. 188-200
-
-
Chandra, A.1
Iyengar, V.2
Jameson, D.3
Jawalekar, R.4
Nair, I.5
Rosen, B.6
Mullen, M.7
Yoon, J.8
Armoni, R.9
Geist, D.10
Wolfsthal, Y.11
-
36
-
-
0018295718
-
Symbolic simulation for correct machine design
-
June
-
William C. Carter, William H. Joyner, and Daniel Brand. Symbolic simulation for correct machine design. In DAC, Proceedings of Design Automation Conference, pages 280-286, June 1979.
-
(1979)
DAC, Proceedings of Design Automation Conference
, pp. 280-286
-
-
Carter, W.C.1
Joyner, W.H.2
Brand, D.3
-
38
-
-
0026973232
-
Implicit and incremental computation of primes and essential primes of Boolean functions
-
June
-
Olivier Coudert and Jean Christophe Madre. Implicit and incremental computation of primes and essential primes of Boolean functions. In DAC, Proceedings of Design Automation Conference, pages 36-39, June 1992.
-
(1992)
DAC, Proceedings of Design Automation Conference
, pp. 36-39
-
-
Coudert, O.1
Madre, J.C.2
-
39
-
-
0036052875
-
A fast, inexpensive and scalable hardware acceleration technique for functional simulation
-
June
-
Srihari Cadambi, Chandra S. Mulpuri, and Pranav N. Ashar. A fast, inexpensive and scalable hardware acceleration technique for functional simulation. In DAC, Proceedings of Design Automation Conference, pages 570-575, June 2002.
-
(2002)
DAC, Proceedings of Design Automation Conference
, pp. 570-575
-
-
Cadambi, S.1
Mulpuri, C.S.2
Ashar, P.N.3
-
40
-
-
84892321136
-
-
CUDD-2.3.1. http://vlsi. Colorado.edu/fabio, 1999.
-
(1999)
-
-
-
43
-
-
0024173411
-
Evaluation and improvements of Boolean comparison method based on binary decision diagrams
-
November
-
Masahiro Fujita, Hisanori Fujisawa, and Nobuaki Kawato. Evaluation and improvements of Boolean comparison method based on binary decision diagrams. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 2-5, November 1988.
-
(1988)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 2-5
-
-
Fujita, M.1
Fujisawa, H.2
Kawato, N.3
-
44
-
-
0031120522
-
Multi-terminal binary decision diagrams: An efficient datastructure for matrix representation
-
Masahiro Fujita, Patrick McGeer, and Jerry Yang. Multi-terminal binary decision diagrams: An efficient datastructure for matrix representation. Formal Methods in System Design, 10(2-3):149-169, 1997.
-
(1997)
Formal Methods in System Design
, vol.10
, Issue.2-3
, pp. 149-169
-
-
Fujita, M.1
McGeer, P.2
Yang, J.3
-
45
-
-
35048882141
-
Set manipulation with Boolean functional vectors for symbolic reachability analysis
-
March
-
Amit Goel and Randal E. Bryant. Set manipulation with Boolean functional vectors for symbolic reachability analysis. In DATE, Design, Automation and Test in Europe Conference, pages 10816-10821, March 2003.
-
(2003)
DATE, Design, Automation and Test in Europe Conference
, pp. 10816-10821
-
-
Goel, A.1
Bryant, R.E.2
-
47
-
-
0004250482
-
-
PhD thesis, University of Washington, Dept. of Computer Science and Engineering
-
Scott Hauck. Multi-FPGA Systems. PhD thesis, University of Washington, Dept. of Computer Science and Engineering, 1995.
-
(1995)
Multi-FPGA Systems
-
-
Hauck, S.1
-
50
-
-
0034986777
-
The e language: A fresh separation of concerns
-
March
-
Yoav Hollander, Matthew Morley, and Amos Noy. The e language: A fresh separation of concerns. In Technology of Object-Oriented Languages and Systems, volume TOOLS-38, pages 41-50, March 2001.
-
(2001)
Technology of Object-oriented Languages and Systems, Volume TOOLS-38
, pp. 41-50
-
-
Hollander, Y.1
Morley, M.2
Noy, A.3
-
51
-
-
0034480956
-
Smart simulation using collaborative formal and simulation engines
-
November
-
Pei-Hsin Ho, Thomas Shiple, Kevin Harer, James Kukula, Robert Damiano, Valeria Bertacco, Jerry Taylor, and Jiang Long. Smart simulation using collaborative formal and simulation engines. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 120-126, November 2000.
-
(2000)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 120-126
-
-
Ho, P.-H.1
Shiple, T.2
Harer, K.3
Kukula, J.4
Damiano, R.5
Bertacco, V.6
Taylor, J.7
Long, J.8
-
54
-
-
0027795293
-
Hierarchical constraint solving in the parametric form with applications to efficient symbolic simulation based verification
-
October
-
Prabhat Jain and Ganesh Gopalakrishnan. Hierarchical constraint solving in the parametric form with applications to efficient symbolic simulation based verification. In ICCD, Proceedings of the International Conference on Computer Design, pages 304-307, October 1993.
-
(1993)
ICCD, Proceedings of the International Conference on Computer Design
, pp. 304-307
-
-
Jain, P.1
Gopalakrishnan, G.2
-
60
-
-
35048848473
-
Representing Boolean functions with if-then-else dags
-
Baskin Center for Computer Engineering & Information Sciences
-
Kevin Karplus. Representing Boolean functions with if-then-else dags. Technical Report UCSC-CRL-88-28, Baskin Center for Computer Engineering & Information Sciences, 1988.
-
(1988)
Technical Report UCSC-CRL-88-28
-
-
Karplus, K.1
-
61
-
-
0009598968
-
Using if-then-else dags for multi-level logic minimization
-
Kevin Karplus. Using if-then-else dags for multi-level logic minimization. In Proceedings of Advanced Research in VLSI, pages 101-118, 1989.
-
(1989)
Proceedings of Advanced Research in VLSI
, pp. 101-118
-
-
Karplus, K.1
-
62
-
-
84861430510
-
Using if-then-else dags to do technology mapping for fieldprogrammable gate arrays
-
Baskin Center for Computer Engineering & Information Sciences
-
Kevin Karplus. Using if-then-else dags to do technology mapping for fieldprogrammable gate arrays. Technical Report UCSC-CRL-90-43, Baskin Center for Computer Engineering & Information Sciences, 1990.
-
(1990)
Technical Report UCSC-CRL-90-43
-
-
Karplus, K.1
-
63
-
-
0016971687
-
Symbolic execution and program testing
-
July
-
James C. King. Symbolic execution and program testing. Communications of the ACM, 19(7):385-394, July 1976.
-
(1976)
Communications of the ACM
, vol.19
, Issue.7
, pp. 385-394
-
-
King, J.C.1
-
64
-
-
0034848147
-
Symbolic RTL simulation
-
June
-
Alferd Kolbl, James Kukula, and Robert Damiano. Symbolic RTL simulation. In DAC, Proceedings of Design Automation Conference, pages 47-52, June 2001.
-
(2001)
DAC, Proceedings of Design Automation Conference
, pp. 47-52
-
-
Kolbl, A.1
Kukula, J.2
Damiano, R.3
-
65
-
-
0029697462
-
I'm done simulating; now what? Verification coverage analysis and correctness checking of the DECchip 21164 Alpha microprocessor
-
June
-
Michael Kantrowitz and Lisa M. Noack. I'm done simulating; now what? verification coverage analysis and correctness checking of the DECchip 21164 Alpha microprocessor. In DAC, Proceedings of Design Automation Conference, pages 325-330, June 1996.
-
(1996)
DAC, Proceedings of Design Automation Conference
, pp. 325-330
-
-
Kantrowitz, M.1
Noack, L.M.2
-
66
-
-
0034854483
-
A framework for object oriented hardware specification, verification and synthesis
-
June
-
Tommy Kuhn, Tobias Oppold, Markus Winterholer, Wolfgang Rosenstiel, Marc Edwards, and Yaron Kashai. A framework for object oriented hardware specification, verification and synthesis. In DAC, Proceedings of Design Automation Conference, pages 413-418, June 2001.
-
(2001)
DAC, Proceedings of Design Automation Conference
, pp. 413-418
-
-
Kuhn, T.1
Oppold, T.2
Winterholer, M.3
Rosenstiel, W.4
Edwards, M.5
Kashai, Y.6
-
67
-
-
0036047838
-
Hole analysis for functional coverage data
-
June
-
Oded Lachish, Eitan Marcus, Shmuel Ur, and Avi Ziv. Hole analysis for functional coverage data. In DAC, Proceedings of Design Automation Conference, pages 807-812, June 2002.
-
(2002)
DAC, Proceedings of Design Automation Conference
, pp. 807-812
-
-
Lachish, O.1
Marcus, E.2
Ur, S.3
Ziv, A.4
-
69
-
-
0027211369
-
Zero-suppressed bdds for set manipulation in combinatorial problems
-
June
-
S.-I. Minato. Zero-suppressed bdds for set manipulation in combinatorial problems. In DAC, Proceedings of Design Automation Conference, pages 272-277, June 1993.
-
(1993)
DAC, Proceedings of Design Automation Conference
, pp. 272-277
-
-
Minato, S.-I.1
-
70
-
-
84948146169
-
Simplifying circuits for formal verification using parametric representation
-
Springer-Verlag
-
In-Ho Moon, Hee Hwan Kwak, James Kukula, Thomas Shiple, and Carl Pixley. Simplifying circuits for formal verification using parametric representation. In FMCAD, Proceedings of International Conference on Formal Methods in Computer-Aided Design, pages 52-69. Springer-Verlag, 2002.
-
(2002)
FMCAD, Proceedings of International Conference on Formal Methods in Computer-aided Design
, pp. 52-69
-
-
Moon, I.1
Kwak, H.H.2
Kukula, J.3
Shiple, T.4
Pixley, C.5
-
71
-
-
0033684175
-
To split or to conjoin: The question in image computation
-
June
-
In-Ho Moon, James Kukula, Kavita Ravi, and Fabio Somenzi. To split or to conjoin: The question in image computation. In DAC, Proceedings of Design Automation Conference, pages 23-28, June 2000.
-
(2000)
DAC, Proceedings of Design Automation Conference
, pp. 23-28
-
-
Moon, I.1
Kukula, J.2
Ravi, K.3
Somenzi, F.4
-
72
-
-
0025536718
-
Logic synthesis for programmable gate arrays
-
June
-
Rajeev Murgai, Yoshihito Nishizaki, Narendra V. Shenoy, Robert K. Brayton, and Alberto Sangiovanni-Vincentelli. Logic synthesis for programmable gate arrays. In DAC, Proceedings of Design Automation Conference, pages 620-625, June 1990.
-
(1990)
DAC, Proceedings of Design Automation Conference
, pp. 620-625
-
-
Murgai, R.1
Nishizaki, Y.2
Shenoy, N.V.3
Brayton, R.K.4
Sangiovanni-Vincentelli, A.5
-
73
-
-
0027277648
-
ESPRESSO-SIGNATURE: A new exact minimizer for logic functions
-
June
-
Patrick C. McGeer, Jagesh V. Sanghavi, Robert K. Brayton, and Alberto Sangiovanni-Vincentelli. ESPRESSO-SIGNATURE: A new exact minimizer for logic functions. In DAC, Proceedings of Design Automation Conference, pages 618-624, June 1993.
-
(1993)
DAC, Proceedings of Design Automation Conference
, pp. 618-624
-
-
McGeer, P.C.1
Sanghavi, J.V.2
Brayton, R.K.3
Sangiovanni-Vincentelli, A.4
-
74
-
-
0024172602
-
Logic verification using binary decision diagrams in a logic synthesis environment
-
November
-
Sharad Malik, Albert Wang, Robert K. Brayton, and Alberto Sangiovanni-Vincentelli. Logic verification using binary decision diagrams in a logic synthesis environment. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 6-9, November 1988.
-
(1988)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 6-9
-
-
Malik, S.1
Wang, A.2
Brayton, R.K.3
Sangiovanni-Vincentelli, A.4
-
78
-
-
84947266301
-
Formal verification of designs with complex control by symbolic simulation
-
Springer-Verlag
-
Gerd Ritter, Hans Eveking, and Holger Hinrichsen. Formal verification of designs with complex control by symbolic simulation. In CHARME, Proceedings of Advanced Research Working Conference on Correct Hardware Design and Verification Methods, pages 234-249. Springer-Verlag, 1999.
-
(1999)
CHARME, Proceedings of Advanced Research Working Conference on Correct Hardware Design and Verification Methods
, pp. 234-249
-
-
Ritter, G.1
Eveking, H.2
Hinrichsen, H.3
-
81
-
-
0032634207
-
Totally undecomposable functions: Applications to efficient multiple-valued decompositions
-
Tsutomu Sasao. Totally undecomposable functions: Applications to efficient multiple-valued decompositions. In ISMVL, pages 59-65, 1999.
-
(1999)
ISMVL
, pp. 59-65
-
-
Sasao, T.1
-
82
-
-
0001510331
-
Formal verification by symbolic evaluation of partially-ordered trajectories
-
Carl-Johan H. Seger and Randal E. Bryant. Formal verification by symbolic evaluation of partially-ordered trajectories. Formal Methods in System Design, 6(2):147-189, 1995.
-
(1995)
Formal Methods in System Design
, vol.6
, Issue.2
, pp. 147-189
-
-
Seger, C.H.1
Bryant, R.E.2
-
83
-
-
84938487169
-
The synthesis of two-terminal switching circuits
-
Claude E. Shannon. The synthesis of two-terminal switching circuits. Bell Systems Technical Journal, 28(1):59-98, 1949.
-
(1949)
Bell Systems Technical Journal
, vol.28
, Issue.1
, pp. 59-98
-
-
Shannon, C.E.1
-
84
-
-
84892284215
-
The decomposition chart as a theoretical aid
-
Harvard Computational Laboratory
-
Theodore Singer. The decomposition chart as a theoretical aid. Technical Report BL-4, Sec. III, Harvard Computational Laboratory, 1953.
-
(1953)
Technical Report BL-4, Sec. III
-
-
Singer, T.1
-
85
-
-
0003837042
-
DECOMPOS: An integrated system for functional decomposition
-
Tsutomu Sasao and Munehiro Matsuura. DECOMPOS: An integrated system for functional decomposition. In International Workshop on Logic Synthesis, pages 471-477, 1998.
-
(1998)
International Workshop on Logic Synthesis
, pp. 471-477
-
-
Sasao, T.1
Matsuura, M.2
-
86
-
-
0015021260
-
A fast algorithm for the disjunctive decomposition of switching functions
-
V. Yun-Shen Shen, Archie C. McKellar, and Peter Weiner. A fast algorithm for the disjunctive decomposition of switching functions. IEEE Transactions on Computers, C-20(3):304-309, 1971.
-
(1971)
IEEE Transactions on Computers
, vol.C-20
, Issue.3
, pp. 304-309
-
-
Yun-Shen Shen, V.1
McKellar, A.C.2
Weiner, P.3
-
87
-
-
0025545981
-
Sangiovanni-Vincentelli. Implicit state enumeration of finite state machines using BDDs
-
November
-
Herve Touati, Hamid Savoj, Bill Lin, Robert K. Brayton, and Alberto L. Sangiovanni-Vincentelli. Implicit state enumeration of finite state machines using BDDs. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 130-133, November 1990.
-
(1990)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 130-133
-
-
Touati, H.1
Savoj, H.2
Lin, B.3
Brayton, R.K.4
Alberto, L.5
-
88
-
-
84947438436
-
Efficient modeling of memory arrays in symbolic simulation
-
Springer-Verlag, June
-
Miroslav N. Velev, Randal E. Bryant, and Alok Jain. Efficient modeling of memory arrays in symbolic simulation. In CAV, Proceedings of International Conference on Computer Aided Verification, volume 1254 of Lecture Notes in Computer Science, pages 388-399. Springer-Verlag, June 1997.
-
(1997)
CAV, Proceedings of International Conference on Computer Aided Verification, Volume 1254 of Lecture Notes in Computer Science
, pp. 388-399
-
-
Velev, M.N.1
Bryant, R.E.2
Jain, A.3
-
90
-
-
13244299516
-
Using cutwidth to improve symbolic simulation and Boolean satisfiability
-
November
-
Dong Wang, Edmund Clarke, Yunshan Zhu, and Jim Kukula. Using cutwidth to improve symbolic simulation and Boolean satisfiability. In HLDVT, IEEE International High Level Design Validation and Test Workshop, pages 165-170, November 2001.
-
(2001)
HLDVT, IEEE International High Level Design Validation and Test Workshop
, pp. 165-170
-
-
Wang, D.1
Clarke, E.2
Zhu, Y.3
Kukula, J.4
-
91
-
-
0033701354
-
Reliable verification using symbolic simulation with scalar values
-
June
-
Chris Wilson and David L. Dill. Reliable verification using symbolic simulation with scalar values. In DAC, Proceedings of Design Automation Conference, pages 124-129, June 2000.
-
(2000)
DAC, Proceedings of Design Automation Conference
, pp. 124-129
-
-
Wilson, C.1
Dill, D.L.2
-
92
-
-
84856182248
-
Symbolic simulation with approximate values
-
Springer, November
-
Chris Wilson, David L. Dill, and Randal E. Bryant. Symbolic simulation with approximate values. In FMCAD, Proceedings of International Conference on Formal Methods in Computer-Aided Design, volume 1954 of Lecture Notes in Computer Science, pages 470-485. Springer, November 2000.
-
(2000)
FMCAD, Proceedings of International Conference on Formal Methods in Computer-aided Design, Volume 1954 of Lecture Notes in Computer Science
, pp. 470-485
-
-
Wilson, C.1
Dill, D.L.2
Bryant, R.E.3
-
93
-
-
0023171002
-
SSIM: A software levelized compiled-code simulator
-
June
-
Laung-Terng Wang, Nathan E. Hoover, Edwin H. Porter, and John J. Zasio. SSIM: A software levelized compiled-code simulator. In DAC, Proceedings of Design Automation Conference, pages 2-8, June 1987.
-
(1987)
DAC, Proceedings of Design Automation Conference
, pp. 2-8
-
-
Wang, L.1
Hoover, N.E.2
Porter, E.H.3
Zasio, J.J.4
-
97
-
-
0033319379
-
Modeling design constraints and biasing using bdds in simulation
-
November
-
Jun. Yuan, Kurt Schultz, Carl Pixley, Hiller Miller, and Adnan Aziz. Modeling design constraints and biasing using bdds in simulation. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 584-590, November 1999.
-
(1999)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 584-590
-
-
Yuan, J.1
Schultz, K.2
Pixley, C.3
Miller, H.4
Aziz, A.5
-
98
-
-
0029230835
-
Test program generation for functional verification of PowerPC processors in IBM
-
June
-
Aharon Aharon, Dave Goodman, Moshe Levinger, Yossi Lichtenstein, Yossi Malka, Charlotte Metzger, Moshe Molcho, and Gil Shurek. Test program generation for functional verification of PowerPC processors in IBM. In DAC, Proceedings of Design Automation Conference, pages 279-285, June 1995.
-
(1995)
DAC, Proceedings of Design Automation Conference
, pp. 279-285
-
-
Aharon, A.1
Goodman, D.2
Levinger, M.3
Lichtenstein, Y.4
Malka, Y.5
Metzger, C.6
Molcho, M.7
Shurek, G.8
-
100
-
-
0028413136
-
Symbolic model checking for sequential circuit verification
-
Jerry R. Burch, Edward M. Clarke, David E. Long, Ken L. McMillan, and David L. Dill. Symbolic model checking for sequential circuit verification. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 13(4):401-424, 1994.
-
(1994)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.13
, Issue.4
, pp. 401-424
-
-
Burch, J.R.1
Clarke, E.M.2
Long, D.E.3
McMillan, K.L.4
Dill, D.L.5
-
101
-
-
0023384119
-
HSS - A high-speed simulator
-
July
-
Zeev Barzilai, J. Lawrence Carter, Barry K. Rosen, and Joseph D. Rutledge. HSS - a high-speed simulator. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pages 601-617, July 1987.
-
(1987)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, pp. 601-617
-
-
Barzilai, Z.1
Lawrence Carter, J.2
Rosen, B.K.3
Rutledge, J.D.4
-
105
-
-
0025558645
-
Efficient implementation of a BDD package
-
Karl Brace, Richard Rudell, and Randal E. Bryant. Efficient implementation of a BDD package. In DAC, Proceedings of Design Automation Conference, pages 40-45, 1990.
-
(1990)
DAC, Proceedings of Design Automation Conference
, pp. 40-45
-
-
Brace, K.1
Rudell, R.2
Bryant, R.E.3
-
106
-
-
0022769976
-
Graph-based algorithms for Boolean function manipulation
-
August
-
Randal E. Bryant. Graph-based algorithms for Boolean function manipulation. IEEE Transactions on Computers, 35(8):677-691, August 1986.
-
(1986)
IEEE Transactions on Computers
, vol.35
, Issue.8
, pp. 677-691
-
-
Bryant, R.E.1
-
107
-
-
0026913667
-
Symbolic Boolean manipulation with ordered binarydecision diagrams
-
September
-
Randal E. Bryant. Symbolic Boolean manipulation with ordered binarydecision diagrams. ACM Computing Surveys, 24(3):293-318, September 1992.
-
(1992)
ACM Computing Surveys
, vol.24
, Issue.3
, pp. 293-318
-
-
Bryant, R.E.1
-
108
-
-
84856140605
-
Verification of synchronous sequential machines based on symbolic execution
-
Springer, June
-
Olivier Coudert, Christian Berthet, and Jean Christophe Madre. Verification of synchronous sequential machines based on symbolic execution. In Automatic Verification Methods for Finite State Systems, International Workshop, volume 407 of Lecture Notes in Computer Science, pages 365-3. Springer, June 1989.
-
(1989)
Automatic Verification Methods for Finite State Systems, International Workshop, Volume 407 of Lecture Notes in Computer Science
, pp. 365-363
-
-
Coudert, O.1
Berthet, C.2
Madre, J.C.3
-
109
-
-
0000574517
-
AVPGEN - A test generator for architecture verification
-
June
-
A. Chandra, V. Iyengar, D. Jameson, R. Jawalekar, I. Nair, B. Rosen, M. Mullen, J. Yoon, R. Armoni, D. Geist, and Y. Wolfsthal. AVPGEN - a test generator for architecture verification. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 3(2):188-200, June 1995.
-
(1995)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.3
, Issue.2
, pp. 188-200
-
-
Chandra, A.1
Iyengar, V.2
Jameson, D.3
Jawalekar, R.4
Nair, I.5
Rosen, B.6
Mullen, M.7
Yoon, J.8
Armoni, R.9
Geist, D.10
Wolfsthal, Y.11
-
110
-
-
0036052875
-
A fast, inexpensive and scalable hardware acceleration technique for functional simulation
-
June
-
Srihari Cadambi, Chandra S. Mulpuri, and Pranav N. Ashar. A fast, inexpensive and scalable hardware acceleration technique for functional simulation. In DAC, Proceedings of Design Automation Conference, pages 570-575, June 2002.
-
(2002)
DAC, Proceedings of Design Automation Conference
, pp. 570-575
-
-
Cadambi, S.1
Mulpuri, C.S.2
Ashar, P.N.3
-
112
-
-
0024173411
-
Evaluation and improvements of Boolean comparison method based on binary decision diagrams
-
November
-
Masahiro Fujita, Hisanori Fujisawa, and Nobuaki Kawato. Evaluation and improvements of Boolean comparison method based on binary decision diagrams. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 2-5, November 1988.
-
(1988)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 2-5
-
-
Fujita, M.1
Fujisawa, H.2
Kawato, N.3
-
113
-
-
0031120522
-
Multi-terminal binary decision diagrams: An efficient datastructure for matrix representation
-
Masahiro Fujita, Patrick McGeer, and Jerry Yang. Multi-terminal binary decision diagrams: An efficient datastructure for matrix representation. Formal Methods in System Design, 10(2-3):149-169, 1997.
-
(1997)
Formal Methods in System Design
, vol.10
, Issue.2-3
, pp. 149-169
-
-
Fujita, M.1
McGeer, P.2
Yang, J.3
-
115
-
-
0004250482
-
-
PhD thesis, University of Washington, Dept. of Computer Science and Engineering
-
Scott Hauck. Multi-FPGA Systems. PhD thesis, University of Washington, Dept. of Computer Science and Engineering, 1995.
-
(1995)
Multi-FPGA Systems
-
-
Hauck, S.1
-
117
-
-
0034986777
-
The e language: A fresh separation of concerns
-
March
-
Yoav Hollander, Matthew Morley, and Amos Noy. The e language: A fresh separation of concerns. In Technology of Object-Oriented Languages and Systems, volume TOOLS-38, pages 41-50, March 2001.
-
(2001)
Technology of Object-oriented Languages and Systems, Volume TOOLS-38
, pp. 41-50
-
-
Hollander, Y.1
Morley, M.2
Noy, A.3
-
120
-
-
0029697462
-
I'm done simulating; now what? Verification coverage analysis and correctness checking of the DECchip 21164 Alpha microprocessor
-
June
-
Michael Kantrowitz and Lisa M. Noack. I'm done simulating; now what? verification coverage analysis and correctness checking of the DECchip 21164 Alpha microprocessor. In DAC, Proceedings of Design Automation Conference, pages 325-330, June 1996.
-
(1996)
DAC, Proceedings of Design Automation Conference
, pp. 325-330
-
-
Kantrowitz, M.1
Noack, L.M.2
-
121
-
-
0034854483
-
A framework for object oriented hardware specification, verification and synthesis
-
June
-
Tommy Kuhn, Tobias Oppold, Markus Winterholer, Wolfgang Rosenstiel, Marc Edwards, and Yaron Kashai. A framework for object oriented hardware specification, verification and synthesis. In DAC, Proceedings of Design Automation Conference, pages 413-418, June 2001.
-
(2001)
DAC, Proceedings of Design Automation Conference
, pp. 413-418
-
-
Kuhn, T.1
Oppold, T.2
Winterholer, M.3
Rosenstiel, W.4
Edwards, M.5
Kashai, Y.6
-
122
-
-
0036047838
-
Hole analysis for functional coverage data
-
June
-
Oded Lachish, Eitan Marcus, Shmuel Ur, and Avi Ziv. Hole analysis for functional coverage data. In DAC, Proceedings of Design Automation Conference, pages 807-812, June 2002.
-
(2002)
DAC, Proceedings of Design Automation Conference
, pp. 807-812
-
-
Lachish, O.1
Marcus, E.2
Ur, S.3
Ziv, A.4
-
124
-
-
0027211369
-
Zero-suppressed bdds for set manipulation in combinatorial problems
-
June
-
S.-I. Minato. Zero-suppressed bdds for set manipulation in combinatorial problems. In DAC, Proceedings of Design Automation Conference, pages 272-277, June 1993.
-
(1993)
DAC, Proceedings of Design Automation Conference
, pp. 272-277
-
-
Minato, S.-I.1
-
125
-
-
0024172602
-
Logic verification using binary decision diagrams in a logic synthesis environment
-
November
-
Sharad Malik, Albert Wang, Robert K. Brayton, and Alberto Sangiovanni-Vincentelli. Logic verification using binary decision diagrams in a logic synthesis environment. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 6-9, November 1988.
-
(1988)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 6-9
-
-
Malik, S.1
Wang, A.2
Brayton, R.K.3
Sangiovanni-Vincentelli, A.4
-
128
-
-
0025545981
-
Implicit state enumeration of finite state machines using BDDs
-
November
-
Herve Touati, Hamid Savoj, Bill Lin, Robert K. Brayton, and Alberto L. Sangiovanni-Vincentelli. Implicit state enumeration of finite state machines using BDDs. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 130-133, November 1990.
-
(1990)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 130-133
-
-
Touati, H.1
Savoj, H.2
Lin, B.3
Brayton, R.K.4
Sangiovanni-Vincentelli, A.L.5
-
129
-
-
0023171002
-
SSIM: A software levelized compiled-code simulator
-
June
-
Laung-Terng Wang, Nathan E. Hoover, Edwin H. Porter, and John J. Zasio. SSIM: A software levelized compiled-code simulator. In DAC, Proceedings of Design Automation Conference, pages 2-8, June 1987.
-
(1987)
DAC, Proceedings of Design Automation Conference
, pp. 2-8
-
-
Wang, L.1
Hoover, N.E.2
Porter, E.H.3
Zasio, J.J.4
-
130
-
-
0033319379
-
Modeling design constraints and biasing using bdds in simulation
-
November
-
Jun. Yuan, Kurt Schultz, Carl Pixley, Hiller Miller, and Adnan Aziz. Modeling design constraints and biasing using bdds in simulation. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 584-590, November 1999.
-
(1999)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 584-590
-
-
Yuan, J.1
Schultz, K.2
Pixley, C.3
Miller, H.4
Aziz, A.5
-
131
-
-
0032630135
-
Seger. Formal verification using parametric representations of Boolean constraints
-
June
-
Mark D. Aagaard, Robert B. Jones, and Carl-Johan H. Seger. Formal verification using parametric representations of Boolean constraints. In DAC, Proceedings of Design Automation Conference, pages 402-407, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 402-407
-
-
Aagaard, M.D.1
Jones, R.B.2
Carl-Johan, H.3
-
132
-
-
0023172731
-
COSMOS: A compiled simulator for MOS circuits
-
June
-
Randal E. Bryant, Derek Beatty, Karl Brace, Kyeongsoon Cho, and Thomas Sheffler. COSMOS: A compiled simulator for MOS circuits. In DAC, Proceedings of Design Automation Conference, pages 9-16, June 1987.
-
(1987)
DAC, Proceedings of Design Automation Conference
, pp. 9-16
-
-
Bryant, R.E.1
Beatty, D.2
Brace, K.3
Cho, K.4
Sheffler, T.5
-
134
-
-
0032641928
-
Cycle-based symbolic simulation of gate-level synchronous circuits
-
June
-
Valeria Bertacco, Maurizio Damiani, and Stefano Quer. Cycle-based symbolic simulation of gate-level synchronous circuits. In DAC, Proceedings of Design Automation Conference, pages 391-396, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 391-396
-
-
Bertacco, V.1
Damiani, M.2
Quer, S.3
-
138
-
-
84856140605
-
Verification of synchronous sequential machines based on symbolic execution
-
Springer, June
-
Olivier Coudert, Christian Berthet, and Jean Christophe Madre. Verification of synchronous sequential machines based on symbolic execution. In Automatic Verification Methods for Finite State Systems, International Workshop, volume 407 of Lecture Notes in Computer Science, pages 365-3. Springer, June 1989.
-
(1989)
Automatic Verification Methods for Finite State Systems, International Workshop, Volume 407 of Lecture Notes in Computer Science
, pp. 365-363
-
-
Coudert, O.1
Berthet, C.2
Madre, J.C.3
-
139
-
-
0030672545
-
Disjunctive partitioning and partial iterative squaring: An effective approach for symbolic traversal of large circuits
-
June
-
Gianpiero Cabodi, Paolo Camurati, Luciano Lavagno, and Stefano Quer. Disjunctive partitioning and partial iterative squaring: an effective approach for symbolic traversal of large circuits. In DAC, Proceedings of Design Automation Conference, pages 728-733, June 1997.
-
(1997)
DAC, Proceedings of Design Automation Conference
, pp. 728-733
-
-
Cabodi, G.1
Camurati, P.2
Lavagno, L.3
Quer, S.4
-
140
-
-
0030416289
-
Improved reachability analysis of large finite state machine
-
November
-
Gianpiero Cabodi, Paolo Camurati, and Stefano Quer. Improved reachability analysis of large finite state machine. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 354-360, November 1996.
-
(1996)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 354-360
-
-
Cabodi, G.1
Camurati, P.2
Quer, S.3
-
141
-
-
0018295718
-
Symbolic simulation for correct machine design
-
June
-
William C. Carter, William H. Joyner, and Daniel Brand. Symbolic simulation for correct machine design. In DAC, Proceedings of Design Automation Conference, pages 280-286, June 1979.
-
(1979)
DAC, Proceedings of Design Automation Conference
, pp. 280-286
-
-
Carter, W.C.1
Joyner, W.H.2
Brand, D.3
-
142
-
-
35048882141
-
Set manipulation with Boolean functional vectors for symbolic reachability analysis
-
March
-
Amit Goel and Randal E. Bryant. Set manipulation with Boolean functional vectors for symbolic reachability analysis. In DATE, Design, Automation and Test in Europe Conference, pages 10816-10821, March 2003.
-
(2003)
DATE, Design, Automation and Test in Europe Conference
, pp. 10816-10821
-
-
Goel, A.1
Bryant, R.E.2
-
143
-
-
0034480956
-
Smart simulation using collaborative formal and simulation engines
-
November
-
Pei-Hsin Ho, Thomas Shiple, Kevin Harer, James Kukula, Robert Damiano, Valeria Bertacco, Jerry Taylor, and Jiang Long. Smart simulation using collaborative formal and simulation engines. In ICCAD, Proceedings of the International Conference on Computer Aided Design, pages 120-126, November 2000.
-
(2000)
ICCAD, Proceedings of the International Conference on Computer Aided Design
, pp. 120-126
-
-
Ho, P.1
Shiple, T.2
Harer, K.3
Kukula, J.4
Damiano, R.5
Bertacco, V.6
Taylor, J.7
Long, J.8
-
144
-
-
0016971687
-
Symbolic execution and program testing
-
July
-
James C. King. Symbolic execution and program testing. Communications of the ACM, 19(7):385-394, July 1976.
-
(1976)
Communications of the ACM
, vol.19
, Issue.7
, pp. 385-394
-
-
King, J.C.1
-
145
-
-
0034848147
-
Symbolic RTL simulation
-
June
-
Alferd Kolbl, James Kukula, and Robert Damiano. Symbolic RTL simulation. In DAC, Proceedings of Design Automation Conference, pages 47-52, June 2001.
-
(2001)
DAC, Proceedings of Design Automation Conference
, pp. 47-52
-
-
Kolbl, A.1
Kukula, J.2
Damiano, R.3
-
146
-
-
0033684175
-
To split or to conjoin: The question in image computation
-
June
-
In-Ho Moon, James Kukula, Kavita Ravi, and Fabio Somenzi. To split or to conjoin: The question in image computation. In DAC, Proceedings of Design Automation Conference, pages 23-28, June 2000.
-
(2000)
DAC, Proceedings of Design Automation Conference
, pp. 23-28
-
-
Moon, I.1
Kukula, J.2
Ravi, K.3
Somenzi, F.4
-
147
-
-
84947266301
-
Formal verification of designs with complex control by symbolic simulation
-
Springer-Verlag
-
Gerd Ritter, Hans Eveking, and Holger Hinrichsen. Formal verification of designs with complex control by symbolic simulation. In CHARME, Proceedings of Advanced Research Working Conference on Correct Hardware Design and Verification Methods, pages 234-249. Springer-Verlag, 1999.
-
(1999)
CHARME, Proceedings of Advanced Research Working Conference on Correct Hardware Design and Verification Methods
, pp. 234-249
-
-
Ritter, G.1
Eveking, H.2
Hinrichsen, H.3
-
149
-
-
0001510331
-
Formal verification by symbolic evaluation of partially-ordered trajectories
-
Carl-Johan H. Seger and Randal E. Bryant. Formal verification by symbolic evaluation of partially-ordered trajectories. Formal Methods in System Design, 6(2):147-189, 1995.
-
(1995)
Formal Methods in System Design
, vol.6
, Issue.2
, pp. 147-189
-
-
Seger, C.H.1
Bryant, R.E.2
-
150
-
-
84947438436
-
Efficient modeling of memory arrays in symbolic simulation
-
Springer-Verlag, June
-
Miroslav N. Velev, Randal E. Bryant, and Alok Jain. Efficient modeling of memory arrays in symbolic simulation. In CAV, Proceedings of International Conference on Computer Aided Verification, volume 1254 of Lecture Notes in Computer Science, pages 388-399. Springer-Verlag, June 1997.
-
(1997)
CAV, Proceedings of International Conference on Computer Aided Verification, Volume 1254 of Lecture Notes in Computer Science
, pp. 388-399
-
-
Velev, M.N.1
Bryant, R.E.2
Jain, A.3
-
151
-
-
13244299516
-
Using cutwidth to improve'symbolic simulation and Boolean satisfiability
-
November
-
Dong Wang, Edmund Clarke, Yunshan Zhu, and Jim Kukula. Using cutwidth to improve'symbolic simulation and Boolean satisfiability. In HLDVT, IEEE International High Level Design Validation and Test Workshop, pages 165-170, November 2001.
-
(2001)
HLDVT, IEEE International High Level Design Validation and Test Workshop
, pp. 165-170
-
-
Wang, D.1
Clarke, E.2
Zhu, Y.3
Kukula, J.4
-
152
-
-
0033701354
-
Reliable verification using symbolic simulation with scalar values
-
June
-
Chris Wilson and David L. Dill. Reliable verification using symbolic simulation with scalar values. In DAC, Proceedings of Design Automation Conference, pages 124-129, June 2000.
-
(2000)
DAC, Proceedings of Design Automation Conference
, pp. 124-129
-
-
Wilson, C.1
Dill, D.L.2
-
154
-
-
0032630135
-
Formal verification using parametric representations of Boolean constraints
-
June
-
Mark D. Aagaard, Robert B. Jones, and Carl-Johan H. Seger. Formal verification using parametric representations of Boolean constraints. In DAC, Proceedings of Design Automation Conference, pages 402-407, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 402-407
-
-
Aagaard, M.D.1
Jones, R.B.2
Seger, C.H.3
-
156
-
-
0024913805
-
Combinational profiles of sequential benchmark circuits
-
May
-
Franc Brglez, David Bryan, and Krzysztof Kozmiriski. Combinational profiles of sequential benchmark circuits. In ISCAS, Proceedings of the International Symposyium on Circuits and Systems, pages 1929-1934, May 1989.
-
(1989)
ISCAS, Proceedings of the International Symposyium on Circuits and Systems
, pp. 1929-1934
-
-
Brglez, F.1
Bryan, D.2
Kozmiriski, K.3
-
160
-
-
0032641928
-
Cycle-based symbolic simulation of gate-level synchronous circuits
-
June
-
Valeria Bertacco, Maurizio Damiani, and Stefano Quer. Cycle-based symbolic simulation of gate-level synchronous circuits. In DAC, Proceedings of Design Automation Conference, pages 391-396, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 391-396
-
-
Bertacco, V.1
Damiani, M.2
Quer, S.3
-
164
-
-
0025558645
-
Efficient implementation of a BDD package
-
Karl Brace, Richard Rudell, and Randal E. Bryant. Efficient implementation of a BDD package. In DAC, Proceedings of Design Automation Conference, pages 40-45, 1990.
-
(1990)
DAC, Proceedings of Design Automation Conference
, pp. 40-45
-
-
Brace, K.1
Rudell, R.2
Bryant, R.E.3
-
165
-
-
33747834679
-
MIS: A multiple-level logic optimization system
-
November
-
Robert K. Brayton, Richard Rudell, Alberto Sangiovanni-Vincentelli, and Albert R. Wang. MIS: A multiple-level logic optimization system. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 6(6):1062-1081, November 1987.
-
(1987)
IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems
, vol.6
, Issue.6
, pp. 1062-1081
-
-
Brayton, R.K.1
Rudell, R.2
Sangiovanni-Vincentelli, A.3
Wang, A.R.4
-
166
-
-
84856140605
-
Verification of synchronous sequential machines based on symbolic execution
-
Springer, June
-
Olivier Coudert, Christian Berthet, and Jean Christophe Madre. Verification of synchronous sequential machines based on symbolic execution. In Automatic Verification Methods for Finite State Systems, International Workshop, volume 407 of Lecture Notes in Computer Science, pages 365-3. Springer, June 1989.
-
(1989)
Automatic Verification Methods for Finite State Systems, International Workshop, Volume 407 of Lecture Notes in Computer Science
, pp. 365-363
-
-
Coudert, O.1
Berthet, C.2
Madre, J.C.3
-
168
-
-
0026973232
-
Implicit and incremental computation of primes and essential primes of Boolean functions
-
June
-
Olivier Coudert and Jean Christophe Madre. Implicit and incremental computation of primes and essential primes of Boolean functions. In DAC, Proceedings of Design Automation Conference, pages 36-39, June 1992.
-
(1992)
DAC, Proceedings of Design Automation Conference
, pp. 36-39
-
-
Coudert, O.1
Madre, J.C.2
-
172
-
-
0027795293
-
Hierarchical constraint solving in the parametric form with applications to efficient symbolic simulation based verification
-
October
-
Prabhat Jain and Ganesh Gopalakrishnan. Hierarchical constraint solving in the parametric form with applications to efficient symbolic simulation based verification. In ICCD, Proceedings of the International Conference on Computer Design, pages 304-307, October 1993.
-
(1993)
ICCD, Proceedings of the International Conference on Computer Design
, pp. 304-307
-
-
Jain, P.1
Gopalakrishnan, G.2
-
175
-
-
35048848473
-
Representing Boolean functions with if-then-else dags
-
Baskin Center for Computer Engineering & Information Sciences
-
Kevin Karplus. Representing Boolean functions with if-then-else dags. Technical Report UCSC-CRL-88-28, Baskin Center for Computer Engineering & Information Sciences, 1988.
-
(1988)
Technical Report UCSC-CRL-88-28
-
-
Karplus, K.1
-
176
-
-
0009598968
-
Using if-then-else dags for multi-level logic minimization
-
Kevin Karplus. Using if-then-else dags for multi-level logic minimization. In Proceedings of Advanced Research in VLSI, pages 101-118, 1989.
-
(1989)
Proceedings of Advanced Research in VLSI
, pp. 101-118
-
-
Karplus, K.1
-
177
-
-
84861430510
-
Using if-then-else dags to do technology mapping for fieldprogrammable gate arrays
-
Baskin Center for Computer Engineering & Information Sciences
-
Kevin Karplus. Using if-then-else dags to do technology mapping for fieldprogrammable gate arrays. Technical Report UCSC-CRL-90-43, Baskin Center for Computer Engineering & Information Sciences, 1990.
-
(1990)
Technical Report UCSC-CRL-90-43
-
-
Karplus, K.1
-
178
-
-
84948146169
-
Simplifying circuits for formal verification using parametric representation
-
Springer-Verlag
-
In-Ho Moon, Hee Hwan Kwak, James Kukula, Thomas Shiple, and Carl Pixley. Simplifying circuits for formal verification using parametric representation. In FMCAD, Proceedings of International Conference on Formal Methods in Computer-Aided Design, pages 52-69. Springer-Verlag, 2002.
-
(2002)
FMCAD, Proceedings of International Conference on Formal Methods in Computer-aided Design
, pp. 52-69
-
-
Moon, I.1
Kwak, H.H.2
Kukula, J.3
Shiple, T.4
Pixley, C.5
-
179
-
-
0025536718
-
Logic synthesis for programmable gate arrays
-
June
-
Rajeev Murgai, Yoshihito Nishizaki, Narendra V. Shenoy, Robert K. Brayton, and Alberto Sangiovanni-Vincentelli. Logic synthesis for programmable gate arrays. In DAC, Proceedings of Design Automation Conference, pages 620-625, June 1990.
-
(1990)
DAC, Proceedings of Design Automation Conference
, pp. 620-625
-
-
Murgai, R.1
Nishizaki, Y.2
Shenoy, N.V.3
Brayton, R.K.4
Sangiovanni-Vincentelli, A.5
-
180
-
-
0027277648
-
ESPRESSO-SIGNATURE: A new exact minimizer for logic functions
-
June
-
Patrick C. McGeer, Jagesh V. Sanghavi, Robert K. Brayton, and Alberto Sangiovanni-Vincentelli. ESPRESSO-SIGNATURE: A new exact minimizer for logic functions. In DAC, Proceedings of Design Automation Conference, pages 618-624, June 1993.
-
(1993)
DAC, Proceedings of Design Automation Conference
, pp. 618-624
-
-
McGeer, P.C.1
Sanghavi, J.V.2
Brayton, R.K.3
Sangiovanni-Vincentelli, A.4
-
183
-
-
0032634207
-
Totally undecomposable functions: Applications to efficient multiple-valued decompositions
-
Tsutomu Sasao. Totally undecomposable functions: Applications to efficient multiple-valued decompositions. In ISMVL, pages 59-65, 1999.
-
(1999)
ISMVL
, pp. 59-65
-
-
Sasao, T.1
-
184
-
-
84938487169
-
The synthesis of two-terminal switching circuits
-
Claude E. Shannon. The synthesis of two-terminal switching circuits. Bell Systems Technical Journal, 28(1):59-98, 1949.
-
(1949)
Bell Systems Technical Journal
, vol.28
, Issue.1
, pp. 59-98
-
-
Shannon, C.E.1
-
185
-
-
84892284215
-
The decomposition chart as a theoretical aid
-
Harvard Computational Laboratory
-
Theodore Singer. The decomposition chart as a theoretical aid. Technical Report BL-4, Sec. III, Harvard Computational Laboratory, 1953.
-
(1953)
Technical Report BL-4, Sec. III
-
-
Singer, T.1
-
186
-
-
0003837042
-
DECOMPOS: An integrated system for functional decomposition
-
Tsutomu Sasao and Munehiro Matsuura. DECOMPOS: An integrated system for functional decomposition. In International Workshop on Logic Synthesis, pages 471-477, 1998.
-
(1998)
International Workshop on Logic Synthesis
, pp. 471-477
-
-
Sasao, T.1
Matsuura, M.2
-
187
-
-
0015021260
-
A fast algorithm for the disjunctive decomposition of switching functions
-
V. Yun-Shen Shen, Archie C. McKellar, and Peter Weiner. A fast algorithm for the disjunctive decomposition of switching functions. IEEE Transactions on Computers, C-20(3):304-309, 1971.
-
(1971)
IEEE Transactions on Computers
, vol.C-20
, Issue.3
, pp. 304-309
-
-
Yun-Shen Shen, V.1
McKellar, A.C.2
Weiner, P.3
-
190
-
-
0024913805
-
Combinational profiles of sequential benchmark circuits
-
May
-
Franc Brglez, David Bryan, and Krzysztof Kozmiriski. Combinational profiles of sequential benchmark circuits. In ISCAS, Proceedings of the International Symposyium on Circuits and Systems, pages 1929-1934, May 1989.
-
(1989)
ISCAS, Proceedings of the International Symposyium on Circuits and Systems
, pp. 1929-1934
-
-
Brglez, F.1
Bryan, D.2
Kozmiriski, K.3
-
191
-
-
0032641928
-
Cycle-based symbolic simulation of gate-level synchronous circuits
-
June
-
Valeria Bertacco, Maurizio Damiani, and Stefano Quer. Cycle-based symbolic simulation of gate-level synchronous circuits. In DAC, Proceedings of Design Automation Conference, pages 391-396, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 391-396
-
-
Bertacco, V.1
Damiani, M.2
Quer, S.3
-
192
-
-
84892205036
-
-
CUDD-2.3.1. http://vlsi. Colorado.edurfabio, 1999.
-
(1999)
-
-
-
193
-
-
0033701354
-
Reliable verification using symbolic simulation with scalar values
-
June
-
Chris Wilson and David L. Dill. Reliable verification using symbolic simulation with scalar values. In DAC, Proceedings of Design Automation Conference, pages 124-129, June 2000.
-
(2000)
DAC, Proceedings of Design Automation Conference
, pp. 124-129
-
-
Wilson, C.1
Dill, D.L.2
-
194
-
-
84856182248
-
Symbolic simulation with approximate values
-
Springer, November
-
Chris Wilson, David L. Dill, and Randal E. Bryant. Symbolic simulation with approximate values. In FMCAD, Proceedings of International Conference on Formal Methods in Computer-Aided Design, volume 1954 of Lecture Notes in Computer Science, pages 470-485. Springer, November 2000.
-
(2000)
FMCAD, Proceedings of International Conference on Formal Methods in Computer-aided Design, Volume 1954 of Lecture Notes in Computer Science
, pp. 470-485
-
-
Wilson, C.1
Dill, D.L.2
Bryant, R.E.3
-
197
-
-
0032630135
-
Formal verification using parametric representations of Boolean constraints
-
June
-
Mark D. Aagaard, Robert B. Jones, and Carl-Johan H. Seger. Formal verification using parametric representations of Boolean constraints. In DAC, Proceedings of Design Automation Conference, pages 402-407, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 402-407
-
-
Aagaard, M.D.1
Jones, R.B.2
Seger, C.H.3
-
198
-
-
0024913805
-
Combinational profiles of sequential benchmark circuits
-
May
-
Franc Brglez, David Bryan, and Krzysztof Koźmiński. Combinational profiles of sequential benchmark circuits. In ISCAS, Proceedings of the International Symposyium on Circuits and Systems, pages 1929-1934, May 1989.
-
(1989)
ISCAS, Proceedings of the International Symposyium on Circuits and Systems
, pp. 1929-1934
-
-
Brglez, F.1
Bryan, D.2
Koźmiński, K.3
-
200
-
-
84856140605
-
Verification of synchronous sequential machines based on symbolic execution
-
Springer, June
-
Olivier Coudert, Christian Berthet, and Jean Christophe Madre. Verification of synchronous sequential machines based on symbolic execution. In Automatic Verification Methods for Finite State Systems, International Workshop, volume 407 of Lecture Notes in Computer Science, pages 365-3. Springer, June 1989.
-
(1989)
Automatic Verification Methods for Finite State Systems, International Workshop, Volume 407 of Lecture Notes in Computer Science
, pp. 365-363
-
-
Coudert, O.1
Berthet, C.2
Madre, J.C.3
-
201
-
-
84892327371
-
-
CUDD-2.3.1. http://vlsi. Colorado.edu/Jabio, 1999.
-
(1999)
-
-
-
206
-
-
0032630135
-
Formal verification using parametric representations of Boolean constraints
-
June
-
Mark D. Aagaard, Robert B. Jones, and Carl-Johan H. Seger. Formal verification using parametric representations of Boolean constraints. In DAC, Proceedings of Design Automation Conference, pages 402-407, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 402-407
-
-
Aagaard, M.D.1
Jones, R.B.2
Seger, C.H.3
-
207
-
-
0032641928
-
Cycle-based symbolic simulation of gate-level synchronous circuits
-
June
-
Valeria Bertacco, Maurizio Damiani, and Stefano Quer. Cycle-based symbolic simulation of gate-level synchronous circuits. In DAC, Proceedings of Design Automation Conference, pages 391-396, June 1999.
-
(1999)
DAC, Proceedings of Design Automation Conference
, pp. 391-396
-
-
Bertacco, V.1
Damiani, M.2
Quer, S.3
-
209
-
-
0033701354
-
Reliable verification using symbolic simulation with scalar values
-
June
-
Chris Wilson and David L. Dill. Reliable verification using symbolic simulation with scalar values. In DAC, Proceedings of Design Automation Conference, pages 124-129, June 2000.
-
(2000)
DAC, Proceedings of Design Automation Conference
, pp. 124-129
-
-
Wilson, C.1
Dill, D.L.2
|