-
1
-
-
0030382365
-
Shared memory consistency models: A tutorial
-
ADVE, S. V. AND GHARACHORLOO, K. 1996. Shared memory consistency models: A tutorial. IEEE Comput. 29, 12, 66-76.
-
(1996)
IEEE Comput.
, vol.29
, Issue.12
, pp. 66-76
-
-
Adve, S.V.1
Gharachorloo, K.2
-
3
-
-
0032674982
-
Design and evaluation of system level checks for on-line control flow error detection
-
ALKHALIFA, Z., NAIR, V., KRISHNAMURTHY, N., AND ABRAHAM, J. 1999. Design and evaluation of system level checks for on-line control flow error detection. IEEE Trans. Parallel Distrib. Syst. 10, 6, 627-641.
-
(1999)
IEEE Trans. Parallel Distrib. Syst.
, vol.10
, Issue.6
, pp. 627-641
-
-
Alkhalifa, Z.1
Nair, V.2
Krishnamurthy, N.3
Abraham, J.4
-
4
-
-
77954977116
-
Necromancer: Enhancing system throughput by animating dead cores
-
ACM Press, New York
-
ANSARI, A., FENG, S.,GUPTA, S., ANDMAHLKE, S. 2010. Necromancer: Enhancing system throughput by animating dead cores. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA'10). ACM Press, New York, 473-484.
-
(2010)
Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA'10)
, pp. 473-484
-
-
Ansari, A.1
Feng, S.2
Gupta, S.3
Andmahlke, S.4
-
7
-
-
0022252695
-
The n-version approach to fault-tolerant software
-
AVIZIENIS, A. 1985. The n-version approach to fault-tolerant software. IEEE Trans. Softw. Engin. 12, 1491-1501.
-
(1985)
IEEE Trans. Softw. Engin.
, vol.12
, pp. 1491-1501
-
-
Avizienis, A.1
-
8
-
-
33845563524
-
Verification: What works and what doesn't
-
BACCHINI, F., DAMIANO, R. F., BENTLEY, B., BATY, K., NORMOYLE, K., ISHII, M., AND YOGEV, E. 2004. Verification: What works and what doesn't. In Proceedings of the 41st Design Automation Conference (DAC'04). 274.
-
(2004)
Proceedings of the 41st Design Automation Conference (DAC'04)
, pp. 274
-
-
Bacchini, F.1
Damiano, R.F.2
Bentley, B.3
Baty, K.4
Normoyle, K.5
Ishii, M.6
Yogev, E.7
-
9
-
-
0025489006
-
Algorithmbased fault tolerance on a hypercube multiprocessor
-
BANERJEE, P.,RAHMEH, J., STUNKEL, C.,NAIR, V.,ROY, K.,BALASUBRAMANIAN, V., AND ABRAHAM, J. 1990. Algorithmbased fault tolerance on a hypercube multiprocessor. IEEE Trans. Comput. 39, 9, 1132-1145.
-
(1990)
IEEE Trans. Comput.
, vol.39
, Issue.9
, pp. 1132-1145
-
-
Banerjee, P.1
Rahmeh, J.2
Stunkel, C.3
Nair, V.4
Roy, K.5
Balasubramanian, V.6
Abraham, J.7
-
11
-
-
27544473955
-
Nonstop advanced architecture
-
IEEE Computer Society, Los Alamitos, CA
-
BERNICK, D., BRUCKERT, B., VIGNA, P. D., GARCIA, D., JARDINE, R., KLECKA, J., AND SMULLEN, J. 2005. Nonstop advanced architecture. In Proceedings of the International Conference on Dependable Systems and Networks (DSN'05). IEEE Computer Society, Los Alamitos, CA, 12-21.
-
(2005)
Proceedings of the International Conference on Dependable Systems and Networks (DSN'05)
, pp. 12-21
-
-
Bernick, D.1
Bruckert, B.2
Vigna, P.D.3
Garcia, D.4
Jardine, R.5
Klecka, J.6
Smullen, J.7
-
12
-
-
49549105128
-
Razor ii: In situ error detection and correction for pvt and ser tolerance
-
BLAAUW, D., KALAISELVAN, S., LAI, K.,MA, W.-H., PANT, S., TOKUNAGA, C., DAS, S., AND BULL, D. 2008. Razor ii: In situ error detection and correction for pvt and ser tolerance. In Proceedings of the IEEE International Conference on Solid-State Circuits (ISSCC'08). 400-622.
-
(2008)
Proceedings of the IEEE International Conference on Solid-State Circuits (ISSCC'08)
, pp. 400-622
-
-
Blaauw, D.1
Kalaiselvan, S.2
Lai, K.3
Ma, W.-H.4
Pant, S.5
Tokunaga, C.6
Das, S.7
Bull, D.8
-
13
-
-
47249158717
-
Self-calibrating online wearout detection
-
IEEE Computer Society, Los Alamitos, CA
-
BLOME, J., FENG, S., GUPTA, S., AND MAHLKE, S. 2007. Self-calibrating online wearout detection. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'07). IEEE Computer Society, Los Alamitos, CA, 109-122.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'07)
, pp. 109-122
-
-
Blome, J.1
Feng, S.2
Gupta, S.3
Mahlke, S.4
-
14
-
-
0001168383
-
Reflections on the pentium division bug
-
BLUM, M. AND WASSERMAN, H. 1996. Reflections on the pentium division bug. IEEE Trans. Comput. 45, 385-393.
-
(1996)
IEEE Trans. Comput.
, vol.45
, pp. 385-393
-
-
Blum, M.1
Wasserman, H.2
-
15
-
-
84886049933
-
Software-based transparent and comprehensive control-flow error detection
-
BORIN, E.,WANG, C.,WU, Y., AND ARAUJO, G. 2006. Software-based transparent and comprehensive control-flow error detection. In Proceedings of the International Symposium on Code Generation and Optimization (CGO'06). 13.
-
(2006)
Proceedings of the International Symposium on Code Generation and Optimization (CGO'06)
, pp. 13
-
-
Borin, E.1
Wang, C.2
Wu, Y.3
Araujo, G.4
-
18
-
-
70450230796
-
End-to-end register dataflow continuous self-test
-
CARRETERO, J., CHAPARRO, P., VERA, X., ABELLA, J., AND GONZALEZ, A. 2009. End-to-end register dataflow continuous self-test. SIGARCH Comput. Archit. News 37, 3, 105-115.
-
(2009)
SIGARCH Comput. Archit. News
, vol.37
, Issue.3
, pp. 105-115
-
-
Carretero, J.1
Chaparro, P.2
Vera, X.3
Abella, J.4
Gonzalez, A.5
-
20
-
-
0034462353
-
Efficient checker processor design
-
ACM Press, New York
-
CHATTERJEE, S.,WEAVER, C., AND AUSTIN, T. 2000. Efficient checker processor design. In Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture (MICRO'00). ACM Press, New York, 87-97.
-
(2000)
Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture (MICRO'00)
, pp. 87-97
-
-
Chatterjee, S.1
Weaver, C.2
Austin, T.3
-
22
-
-
64949146633
-
Fast complete memory consistency verification
-
CHEN, Y., LV, Y., HU, W., CHEN, T., SHEN, H., WANG, P., AND PAN, H. 2009. Fast complete memory consistency verification. In Proceedings of the 15th IEEE International Symposium on High Performance Computer Architecture. 381-392.
-
(2009)
Proceedings of the 15th IEEE International Symposium on High Performance Computer Architecture
, pp. 381-392
-
-
Chen, Y.1
Lv, Y..2
Hu, W.3
Chen, T.4
Shen, H.5
Wang, P.6
Pan, H.7
-
23
-
-
66749179303
-
Online design bug detection: Rtl analysis, flexible mechanisms, and evaluation
-
CONSTANTINIDES, K.,MUTLU, O., AND AUSTIN, T. 2008. Online design bug detection: Rtl analysis, flexible mechanisms, and evaluation. In Proceedings of the 41st IEEE/ACM International Symposium on Microarchitecture (MICRO'08). 282-293.
-
(2008)
Proceedings of the 41st IEEE/ACM International Symposium on Microarchitecture (MICRO'08)
, pp. 282-293
-
-
Constantinides, K.1
Mutlu, O.2
Austin, T.3
-
24
-
-
47349110547
-
Software-based online detection of hardware defects mechanisms, architectural support, and evaluation
-
CONSTANTINIDES, K., MUTLU, O., AUSTIN, T., AND BERTACCO, V. 2007. Software-based online detection of hardware defects mechanisms, architectural support, and evaluation. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'07). 97-108.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'07)
, pp. 97-108
-
-
Constantinides, K.1
Mutlu, O.2
Austin, T.3
Bertacco, V.4
-
25
-
-
77954968857
-
Relax: An architectural framework for software recovery of hardware faults
-
DE KRUIJF, M., NOMURA, S., AND SANKARALINGAM, K. 2010. Relax: An architectural framework for software recovery of hardware faults. SIGARCH Comput. Archit. News 38, 3, 497-508.
-
(2010)
SIGARCH Comput. Archit. News
, vol.38
, Issue.3
, pp. 497-508
-
-
De Kruijf, M.1
Nomura, S.2
Sankaralingam, K.3
-
26
-
-
84944408150
-
Razor: A low-power pipeline based on circuit-level timing speculation
-
ERNST, D., KIM, N. S., DAS, S., PANT, S., RAO, R., PHAM, T., ZIESLER, C., BLAAUW, D., AUSTIN, T., FLAUTNER, K., AND MUDGE, T. 2003. Razor: A low-power pipeline based on circuit-level timing speculation. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'03). 7-18.
-
(2003)
Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'03)
, pp. 7-18
-
-
Ernst, D.1
Kim, N.S.2
Das, S.3
Pant, S.4
Rao, R.5
Pham, T.6
Ziesler, C.7
Blaauw, D.8
Austin, T.9
Flautner, K.10
Mudge, T.11
-
27
-
-
0003824873
-
-
Tech. rep. CA, CRC-TR 85-8. Center for Reliable Computing, Stanford University.
-
ERSOZ, A.,ANDREWS, D.M., AND J.,M. E. 1985. The watchdog task: Concurrent error detection using assertions. Tech. rep. CA, CRC-TR 85-8. Center for Reliable Computing, Stanford University.
-
(1985)
The Watchdog Task: Concurrent Error Detection Using Assertions
-
-
Ersoz, A.1
Andrews, D.M.2
-
28
-
-
34547655819
-
A low overhead fault tolerant coherence protocol for cmp architectures
-
FERNANDEZ-PASCUAL, R., GARCIA, J., ACACIO, M., AND DUATO, J. 2007. A low overhead fault tolerant coherence protocol for cmp architectures. In Proceedings of the 13th IEEE International Symposium on High Performance Computer Architecture (HPCA'07). 157-168.
-
(2007)
Proceedings of the 13th IEEE International Symposium on High Performance Computer Architecture (HPCA'07)
, pp. 157-168
-
-
Fernandez-Pascual, R.1
Garcia, J.2
Acacio, M.3
Duato, J.4
-
29
-
-
84858775392
-
Accelerating microprocessor silicon validation by exposing isa diversity
-
FOUTRIS, N., GIZOPOULOS, D., PSARAKIS, M., VERA, X., AND GONZALEZ, A. 2011. Accelerating microprocessor silicon validation by exposing isa diversity. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'11). 386-397.
-
(2011)
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'11)
, pp. 386-397
-
-
Foutris, N.1
Gizopoulos, D.2
Psarakis, M.3
Vera, X.4
Gonzalez, A.5
-
30
-
-
66749169976
-
A performance-correctness explicitly-decoupled architecture
-
IEEE Computer Society, Los Alamitos, CA
-
GARG, A. AND HUANG, M. C. 2008. A performance-correctness explicitly-decoupled architecture. In Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'08). IEEE Computer Society, Los Alamitos, CA, 306-317.
-
(2008)
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'08)
, pp. 306-317
-
-
Garg, A.1
Huang, M.C.2
-
31
-
-
84964978136
-
Soft-error detection using control flow assertions
-
GOLOUBEVA, O., REBAUDENGO, M., SONZA REORDA, M., AND VIOLANTE, M. 2003. Soft-error detection using control flow assertions. In Proceedings of the 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems. 581-588.
-
(2003)
Proceedings of the 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems
, pp. 581-588
-
-
Goloubeva, O.1
Rebaudengo, M.2
Sonza Reorda, M.3
Violante, M.4
-
32
-
-
0038346239
-
Transient-fault recovery for chip multiprocessors
-
ACM Press, New York
-
GOMAA, M., SCARBROUGH, C., VIJAYKUMAR, T. N., AND POMERANZ, I. 2003. Transient-fault recovery for chip multiprocessors. In Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA'03). ACM Press, New York, 98-109.
-
(2003)
Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA'03)
, pp. 98-109
-
-
Gomaa, M.1
Scarbrough, C.2
Vijaykumar, T.N.3
Pomeranz, I.4
-
34
-
-
4644313554
-
Tsotool: A program for verifying memory systems using the memory consistency model
-
HANGAL, S., VAHIA, D., MANOVIT, C., AND LU, J.-Y. J. 2004. Tsotool: A program for verifying memory systems using the memory consistency model. In Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA'04). 114.
-
(2004)
Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA'04)
, pp. 114
-
-
Hangal, S.1
Vahia, D.2
Manovit, C.3
Lu, J.-Y.J.4
-
35
-
-
76749147937
-
Mswat: Low-cost hardware fault detection and diagnosis for multicore systems
-
HARI, S., LI, M.-L., RAMACHANDRAN, P., CHOI, B., AND ADVE, S. 2009. Mswat: Low-cost hardware fault detection and diagnosis for multicore systems. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'09). 122-132.
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'09)
, pp. 122-132
-
-
Hari, S.1
Li, M.-L.2
Ramachandran, P.3
Choi, B.4
Adve, S.5
-
37
-
-
33646499628
-
Resource-driven optimizations for transient-fault detecting superscalar microarchitectures
-
HU, J. S., LINK, G. M., JOHN, J. K., WANG, S., AND ZIAVRAS, S. G. 2005. Resource-driven optimizations for transient-fault detecting superscalar microarchitectures. In Proceedings of the 10th Asia-Pacific Conference on Advances in Computer Systems Architecture (ACSAC'05).
-
(2005)
Proceedings of the 10th Asia-Pacific Conference on Advances in Computer Systems Architecture (ACSAC'05)
-
-
Hu, J.S.1
Link, G.M.2
John, J.K.3
Wang, S.4
Ziavras, S.G.5
-
38
-
-
84885235329
-
-
Tech. rep., Tandem Computers
-
JOEL, B., GRAY, J., AND HORST, B. 1986. Fault tolerance in tandem computer systems. Tech. rep., Tandem Computers. http://www.hpl.hp.com/ techreports/tandem/TR-90.5.pdf
-
(1986)
Fault Tolerance in Tandem Computer Systems
-
-
Joel, B.1
Gray, J.2
Horst, B.3
-
39
-
-
0029725262
-
Evaluation of integrated system level checks for on-line error detection
-
KANAWATI, G., NAIR, V., KRISHNAMURTHY, N., AND ABRAHAM, J. 1996. Evaluation of integrated system level checks for on-line error detection. In Proceedings of IEEE International Computer Performance and Dependability Symposium. 292-301.
-
(1996)
Proceedings of IEEE International Computer Performance and Dependability Symposium
, pp. 292-301
-
-
Kanawati, G.1
Nair, V.2
Krishnamurthy, N.3
Abraham, J.4
-
42
-
-
36049042981
-
Utilizing dynamically coupled cores to form a resilient chip multiprocessor
-
LAFRIEDA, C., IPEK, E., MARTINEZ, J., AND MANOHAR, R. 2007. Utilizing dynamically coupled cores to form a resilient chip multiprocessor. In Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07). 317-326.
-
(2007)
Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07)
, pp. 317-326
-
-
Lafrieda, C.1
Ipek, E.2
Martinez, J.3
Manohar, R.4
-
43
-
-
80051923675
-
A methodology for the generation of efficient error detection mechanisms
-
LEEKE, M., ARIF, S., JHUMKA, A., AND ANAND, S. 2011. A methodology for the generation of efficient error detection mechanisms. In Proceedings of the 41st IEEE/IFIP International Conference on Dependable Systems Networks (DSN'11). 25-36.
-
(2011)
Proceedings of the 41st IEEE/IFIP International Conference on Dependable Systems Networks (DSN'11)
, pp. 25-36
-
-
Leeke, M.1
Arif, S.2
Jhumka, A.3
Anand, S.4
-
44
-
-
77957781457
-
Understanding the propagation of hard errors to software and implications for resilient system design
-
ACM Press, New York
-
LI, M.-L., RAMACHANDRAN, P., SAHOO, S. K., ADVE, S. V., ADVE, V. S., AND ZHOU, Y. 2008. Understanding the propagation of hard errors to software and implications for resilient system design. In Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'08). ACM Press, New York, 265-276.
-
(2008)
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'08)
, pp. 265-276
-
-
Li, M.-L.1
Ramachandran, P.2
Sahoo, S.K.3
Adve, S.V.4
Adve, V.S.5
Zhou, Y.6
-
45
-
-
70449955525
-
An end-to-end approach for the automatic derivation of application-aware error detectors
-
LYLE, G., CHEN, S., PATTABIRAMAN, K., KALBARCZYK, Z., AND IYER, R. 2009. An end-to-end approach for the automatic derivation of application-aware error detectors. In Proceedings of the IEEE/IFIP International Conference on Dependable Systems Networks (DSN'09). 584-589.
-
(2009)
Proceedings of the IEEE/IFIP International Conference on Dependable Systems Networks (DSN'09)
, pp. 584-589
-
-
Lyle, G.1
Chen, S.2
Pattabiraman, K.3
Kalbarczyk, Z.4
Iyer, R.5
-
46
-
-
34548234204
-
Optimizing dual-core execution for power efficiency and transient-fault recovery
-
MA, Y., GAO, H., DIMITROV, M., AND ZHOU, H. 2007. Optimizing dual-core execution for power efficiency and transient-fault recovery. IEEE Trans. Parallel Distrib. Syst. 18, 1080-1093.
-
(2007)
IEEE Trans. Parallel Distrib. Syst.
, vol.18
, pp. 1080-1093
-
-
Ma, Y.1
Gao, H.2
Dimitrov, M.3
Zhou, H.4
-
47
-
-
28444472751
-
Improving multiple-cmp systems using token coherence
-
MARTY, M. R., BINGHAM, J. D., HILL, M. D., HU, A. J., MARTIN, M. M. K., AND WOOD, D. A. 2005. Improving multiple-cmp systems using token coherence. In Proceedings of the International Symposium on High-Performance Computer Architecture. 328-339.
-
(2005)
Proceedings of the International Symposium on High-Performance Computer Architecture
, pp. 328-339
-
-
Marty, M.R.1
Bingham, J.D.2
Hill, M.D.3
Hu, A.J.4
Martin, M.M.K.5
Wood, D.A.6
-
48
-
-
41349091201
-
Argus: Low-cost, comprehensive error detection in simple cores
-
IEEE Computer Society, Los Alamitos, CA
-
MEIXNER, A., BAUER, M. E., AND SORIN, D. 2007. Argus: Low-cost, comprehensive error detection in simple cores. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'07). IEEE Computer Society, Los Alamitos, CA, 210-222.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'07)
, pp. 210-222
-
-
Meixner, A.1
Bauer, M.E.2
Sorin, D.3
-
51
-
-
27544445249
-
Dynamic verification of sequential consistency
-
IEEE Computer Society, Los Alamitos, CA
-
MEIXNER, A. AND SORIN, D. J. 2005. Dynamic verification of sequential consistency. In Proceedings of the 32nd Annual International Symposium on Computer Architecture (ISCA'05). IEEE Computer Society, Los Alamitos, CA, 482-493.
-
(2005)
Proceedings of the 32nd Annual International Symposium on Computer Architecture (ISCA'05)
, pp. 482-493
-
-
Meixner, A.1
Sorin, D.J.2
-
52
-
-
60449091250
-
Dynamic verification of memory consistency in cache-coherent multithreaded computer architectures
-
MEIXNER, A. AND SORIN, D. J. 2009. Dynamic verification of memory consistency in cache-coherent multithreaded computer architectures. IEEE Trans. Depend. Secure Comput. 6, 18-31.
-
(2009)
IEEE Trans. Depend. Secure Comput.
, vol.6
, pp. 18-31
-
-
Meixner, A.1
Sorin, D.J.2
-
55
-
-
80052527670
-
Sampling + dmr: Practical and low-overhead permanent fault detection
-
NOMURA, S., SINCLAIR, M. D.,HO, C.-H., GOVINDARAJU, V., DE KRUIJF, M., AND SANKARALINGAM, K. 2011. Sampling + dmr: Practical and low-overhead permanent fault detection. SIGARCH Comput. Archit. News 39, 3, 201-212.
-
(2011)
SIGARCH Comput. Archit. News
, vol.39
, Issue.3
, pp. 201-212
-
-
Nomura, S.1
Sinclair, M.D.2
Ho, C.-H.3
Govindaraju, V.4
De Kruijf, M.5
Sankaralingam, K.6
-
56
-
-
0036507891
-
Control-flow checking by software signatures
-
OH, N., SHIRVANI, P., AND MCCLUSKEY, E. 2002a. Control-flow checking by software signatures. IEEE Trans. Reliabil. 51, 1, 111-122.
-
(2002)
IEEE Trans. Reliabil.
, vol.51
, Issue.1
, pp. 111-122
-
-
Oh, N.1
Shirvani, P.2
McCluskey, E.3
-
57
-
-
0036507790
-
Error detection by duplicated instructions in super-scalar processors
-
OH, N., SHIRVANI, P., AND MCCLUSKEY, E. 2002b. Error detection by duplicated instructions in super-scalar processors. IEEE Trans. Reliabil. 51, 1, 63-75.
-
(2002)
IEEE Trans. Reliabil.
, vol.51
, Issue.1
, pp. 63-75
-
-
Oh, N.1
Shirvani, P.2
McCluskey, E.3
-
58
-
-
84962180277
-
Soft-error mitigation at the architecturelevel using berger codes and instruction repetition
-
OSSI, E. J., LIMBRICK, D. B., ROBINSON, W. H., AND BHUVA, B. L. 2009. Soft-error mitigation at the architecturelevel using berger codes and instruction repetition. In Proceedings of the IEEE Workshop on Silicon Errors in Logic-System Effects (SELSE'09).
-
(2009)
Proceedings of the IEEE Workshop on Silicon Errors in Logic-System Effects (SELSE'09)
-
-
Ossi, E.J.1
Limbrick, D.B.2
Robinson, W.H.3
Bhuva, B.L.4
-
59
-
-
59449106001
-
A complexity-effective approach to alu bandwidth enhancement for instruction-level temporal redundancy
-
PARASHAR, A.,GURUMURTHI, S., AND SIVASUBRAMANIAM,A. 2004. A complexity-effective approach to alu bandwidth enhancement for instruction-level temporal redundancy. SIGARCH Comput. Archit. News 32, 2.
-
(2004)
SIGARCH Comput. Archit. News
, vol.32
, pp. 2
-
-
Parashar, A.1
Gurumurthi, S.2
Sivasubramaniam, A.3
-
61
-
-
78650906740
-
Application-aware diagnosis of runtime hardware faults
-
IEEE Press, Los Alamitos, CA
-
PELLEGRINI, A. AND BERTACCO, V. 2010. Application-aware diagnosis of runtime hardware faults. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'10). IEEE Press, Los Alamitos, CA, 487-492.
-
(2010)
Proceedings of the International Conference on Computer-Aided Design (ICCAD'10)
, pp. 487-492
-
-
Pellegrini, A.1
Bertacco, V.2
-
62
-
-
0031630017
-
Lamport clocks: Verifying a directory cachecoherence protocol
-
ACM Press, New York
-
PLAKAL, M., SORIN, D. J., CONDON, A. E., AND HILL, M. D. 1998. Lamport clocks: Verifying a directory cachecoherence protocol. In Proceedings of the 10th Annual ACM Symposium on Parallel Algorithms and Architectures (SPAA'98). ACM Press, New York, 67-76.
-
(1998)
Proceedings of the 10th Annual ACM Symposium on Parallel Algorithms and Architectures (SPAA'98)
, pp. 67-76
-
-
Plakal, M.1
Sorin, D.J.2
Condon, A.E.3
Hill, M.D.4
-
67
-
-
33746693677
-
Exploiting coarse-grain verification parallelism for power-efficient fault tolerance
-
RASHID, M. W., TAN, E. J., HUANG, M. C., AND ALBONESI, D. H. 2005. Exploiting coarse-grain verification parallelism for power-efficient fault tolerance. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. 315-328.
-
(2005)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 315-328
-
-
Rashid, M.W.1
Tan, E.J.2
Huang, M.C.3
Albonesi, D.H.4
-
68
-
-
0035691556
-
Dual use of superscalar datapath for transient-fault detection and recovery
-
IEEE Computer Society, Los Alamitos, CA
-
RAY, J., HOE, J. C., AND FALSAFI, B. 2001. Dual use of superscalar datapath for transient-fault detection and recovery. In Proceedings of the 34th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO'01). IEEE Computer Society, Los Alamitos, CA, 214-224.
-
(2001)
Proceedings of the 34th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO'01)
, pp. 214-224
-
-
Ray, J.1
Hoe, J.C.2
Falsafi, B.3
-
69
-
-
0033349322
-
Soft-error detection through software fault-tolerance techniques
-
REBAUDENGO, M., SONZA REORDA, M., TORCHIANO, M., AND VIOLANTE, M. 1999. Soft-error detection through software fault-tolerance techniques. In Proceedings of the International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'99). 210-218.
-
(1999)
Proceedings of the International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'99)
, pp. 210-218
-
-
Rebaudengo, M.1
Sonza Reorda, M.2
Torchiano, M.3
Violante, M.4
-
71
-
-
33646829087
-
Swift: Software implemented fault tolerance
-
IEEE Computer Society, Los Alamitos, CA
-
REIS, G. A., CHANG, J., VACHHARAJANI, N., RANGAN, R., AND AUGUST, D. I. 2005. Swift: Software implemented fault tolerance. In Proceedings of the International Symposium on Code Generation and Optimization (CGO'05). IEEE Computer Society, Los Alamitos, CA, 243-254.
-
(2005)
Proceedings of the International Symposium on Code Generation and Optimization (CGO'05)
, pp. 243-254
-
-
Reis, G.A.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.I.5
-
72
-
-
77952275035
-
Specifying and dynamically verifying address translation-aware memory consistency
-
ACM Press, New York
-
ROMANESCU, B. F., LEBECK, A. R., AND SORIN, D. J. 2010. Specifying and dynamically verifying address translation-aware memory consistency. In Proceedings of the 15th Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems (ASPLOS'10). ACM Press, New York, 323-334.
-
(2010)
Proceedings of the 15th Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems (ASPLOS'10)
, pp. 323-334
-
-
Romanescu, B.F.1
Lebeck, A.R.2
Sorin, D.J.3
-
74
-
-
53349128424
-
Using likely program invariants to detect hardware errors
-
SAHOO, S., LI, M.-L., RAMACHANDRAN, P., ADVE, S., ADVE, V., AND ZHOU, Y. 2008. Using likely program invariants to detect hardware errors. In Proceedings of the IEEE International Conference on Dependable Systems and Networks with FTCS and DCC (DSN'08). 70-79.
-
(2008)
Proceedings of the IEEE International Conference on Dependable Systems and Networks with FTCS and DCC (DSN'08)
, pp. 70-79
-
-
Sahoo, S.1
Li, M.-L.2
Ramachandran, P.3
Adve, S.4
Adve, V.5
Zhou, Y.6
-
76
-
-
70350645292
-
Repas: Reliable execution for parallel applications in tiledcmps
-
H. Sips, D. Epema, and H.-X. Lin, Eds., Lecture Notes in Computer Science Series, vol. 5704, Springer
-
SANCHEZ, D., ARAGON, J., AND GARCIA, J. 2009b. Repas: Reliable execution for parallel applications in tiledcmps. In Proceedings of the 15th International Euro-Par Conference on Parallel Processing (EuroPar'09). H. Sips, D. Epema, and H.-X. Lin, Eds., Lecture Notes in Computer Science Series, vol. 5704, Springer, 321-333.
-
(2009)
Proceedings of the 15th International Euro-Par Conference on Parallel Processing (EuroPar'09)
, pp. 321-333
-
-
Sanchez, D.1
Aragon, J.2
Garcia, J.3
-
77
-
-
84885199555
-
-
Ph.D. thesis, AAI3270016. Champaign, IL
-
SARANGI, S. R. 2007. Techniques to mitigate the effects of congenital faults in processors. Ph.D. thesis, AAI3270016. Champaign, IL. https://www.ideals.illinois.edu/bitstream/handle/2142/11267/ Techniques%20to%20Mitigate%20the%20Effects%20of%20Congenital%20Faults%20in%20Pr ocessors.pdf?sequence=2
-
(2007)
Techniques to Mitigate the Effects of Congenital Faults in Processors
-
-
Sarangi, S.R.1
-
79
-
-
34249788697
-
Patching processor design errors with programmable hardware
-
SARANGI, S. R.,NARAYANASAMY, S.,CARNEAL, B.,TIWARI, A.,CALDER, B., AND TORRELLAS, J. 2007. Patching processor design errors with programmable hardware. IEEE Micro 27, 1, 12-25.
-
(2007)
IEEE Micro
, vol.27
, Issue.1
, pp. 12-25
-
-
Sarangi, S.R.1
Narayanasamy, S.2
Carneal, B.3
Tiwari, A.4
Calder, B.5
Torrellas, J.6
-
80
-
-
0028374147
-
Exploiting instruction-level parallelism for integrated control-flow monitoring
-
SCHUETTE, M. AND SHEN, J. 1994. Exploiting instruction-level parallelism for integrated control-flow monitoring. IEEE Trans. Comput. 43, 2, 129-140.
-
(1994)
IEEE Trans. Comput.
, vol.43
, Issue.2
, pp. 129-140
-
-
Schuette, M.1
Shen, J.2
-
81
-
-
34547457076
-
Ultra low-cost defect protection for microprocessor pipelines
-
SHYAM, S.,CONSTANTINIDES, K.,PHADKE, S.,BERTACCO, V., AND AUSTIN, T. 2006. Ultra low-cost defect protection for microprocessor pipelines. In Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'06). 73-82.
-
(2006)
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'06)
, pp. 73-82
-
-
Shyam, S.1
Constantinides, K.2
Phadke, S.3
Bertacco, V.4
Austin, T.5
-
82
-
-
0029531029
-
The microarchitecture of superscalar processors
-
SMITH, J. AND SOHI, G. 1995. The microarchitecture of superscalar processors. Proc. IEEE 83, 12, 1609-1624.
-
(1995)
Proc. IEEE
, vol.83
, Issue.12
, pp. 1609-1624
-
-
Smith, J.1
Sohi, G.2
-
83
-
-
40349114890
-
Reunion: Complexity-effective multicore redundancy
-
IEEE Computer Society, Los Alamitos, CA
-
SMOLENS, J. C., GOLD, B. T., FALSAFI, B., AND HOE, J. C. 2006. Reunion: Complexity-effective multicore redundancy. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06). IEEE Computer Society, Los Alamitos, CA, 223-234.
-
(2006)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06)
, pp. 223-234
-
-
Smolens, J.C.1
Gold, B.T.2
Falsafi, B.3
Hoe, J.C.4
-
84
-
-
12844278588
-
Fingerprinting: Bounding soft-error detection latency and bandwidth
-
SMOLENS, J. C.,GOLD, B. T.,KIM, J., FALSAFI, B.,HOE, J. C., AND NOWATZYK, A. G. 2004. Fingerprinting: Bounding soft-error detection latency and bandwidth. SIGARCH Comput. Archit. News 32, 224-234.
-
(2004)
SIGARCH Comput. Archit. News
, vol.32
, pp. 224-234
-
-
Smolens, J.C.1
Gold, B.T.2
Kim, J.3
Falsafi, B.4
Hoe, J.C.5
Nowatzyk, A.G.6
-
85
-
-
0036292677
-
Safetynet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery
-
IEEE Computer Society, Los Alamitos, CA
-
SORIN, D. J., MARTIN, M. M. K., HILL, M. D., AND WOOD, D. A. 2002. Safetynet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery. In Proceedings of the 29th Annual International Symposium on Computer Architecture (ISCA'02). IEEE Computer Society, Los Alamitos, CA, 123-134.
-
(2002)
Proceedings of the 29th Annual International Symposium on Computer Architecture (ISCA'02)
, pp. 123-134
-
-
Sorin, D.J.1
Martin, M.M.K.2
Hill, M.D.3
Wood, D.A.4
-
86
-
-
0033314330
-
Ibm s/390 parallel enterprise server g5 fault tolerance: A historical perspective
-
SPAINHOWER, L. AND GREGG, T. A. 1999. Ibm s/390 parallel enterprise server g5 fault tolerance: A historical perspective. IBM J. Res. Dev. 43, 863-873.
-
(1999)
IBM J. Res. Dev.
, vol.43
, pp. 863-873
-
-
Spainhower, L.1
Gregg, T.A.2
-
87
-
-
83455248917
-
-
M.S. thesis, Supercomputer Education and Research Center, Indian Institute of Science, Bangalore
-
SUBRAMANYAN, P. 2010. Efficient fault tolerance in chip multiprocessors using critical value forwarding. M.S. thesis, Supercomputer Education and Research Center, Indian Institute of Science, Bangalore. http://www.academia. edu/2909583/ EfficientFaultToleranceinChipMultiprocessorsUsingCriticalValueForwarding
-
(2010)
Efficient Fault Tolerance in Chip Multiprocessors Using Critical Value Forwarding
-
-
Subramanyan, P.1
-
88
-
-
77956573875
-
Energy-efficient fault tolerance in chip multiprocessors using critical value forwarding
-
SUBRAMANYAN, P., SINGH, V., SALUJA, K., AND LARSSON, E. 2010. Energy-efficient fault tolerance in chip multiprocessors using critical value forwarding. In Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'10). 121-130.
-
(2010)
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'10)
, pp. 121-130
-
-
Subramanyan, P.1
Singh, V.2
Saluja, K.3
Larsson, E.4
-
89
-
-
0034441012
-
Slipstream processors: Improving both performance and fault tolerance
-
SUNDARAMOORTHY, K.,PURSER, Z., AND ROTENBERG, E. 2000. Slipstream processors: Improving both performance and fault tolerance. SIGPLAN Not. 35, 257-268.
-
(2000)
SIGPLAN Not.
, vol.35
, pp. 257-268
-
-
Sundaramoorthy, K.1
Purser, Z.2
Rotenberg, E.3
-
91
-
-
70450209566
-
Architectures for extreme-scale computing
-
TORRELLAS, J. 2009. Architectures for extreme-scale computing. IEEE Comput. 42, 11, 28-35.
-
(2009)
IEEE Comput.
, vol.42
, Issue.11
, pp. 28-35
-
-
Torrellas, J.1
-
95
-
-
33748113790
-
Restore: Symptom-based soft error detection in microprocessors
-
WANG, N. AND PATEL, S. 2006. Restore: Symptom-based soft error detection in microprocessors. IEEE Trans. Depend. Secur. Comput. 3, 3, 188-201.
-
(2006)
IEEE Trans. Depend. Secur. Comput.
, vol.3
, Issue.3
, pp. 188-201
-
-
Wang, N.1
Patel, S.2
-
96
-
-
40949114573
-
Hierarchical verification for increasing performance in reliable processors
-
YOO, J. AND FRANKLIN, M. 2008. Hierarchical verification for increasing performance in reliable processors. J. Electron. Test. 24, 117-128.
-
(2008)
J. Electron. Test.
, vol.24
, pp. 117-128
-
-
Yoo, J.1
Franklin, M.2
-
97
-
-
77956573621
-
Wearmon: Reliability monitoring using adaptive critical path testing
-
ZANDIAN, B., DWEIK, W., KANG, S. H., PUNIHAOLE, T., AND ANNAVARAM, M. 2010. Wearmon: Reliability monitoring using adaptive critical path testing. In Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'10). 151-160.
-
(2010)
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'10)
, pp. 151-160
-
-
Zandian, B.1
Dweik, W.2
Kang, S.H.3
Punihaole, T.4
Annavaram, M.5
-
98
-
-
84885234475
-
-
M.S. thesis, Department of Electrical and Computer Engineering The College School of Engineering and Applied Science University of Rochester, Rochester, New York
-
ZHAO, H. 2008. Memory buffer element optimization for decoupled thread level redundancy. M.S. thesis, Department of Electrical and Computer Engineering The College School of Engineering and Applied Science University of Rochester, Rochester, New York.
-
(2008)
Memory Buffer Element Optimization for Decoupled Thread Level Redundancy
-
-
Zhao, H.1
-
99
-
-
0029732375
-
Ibm experiments in soft fails in computer electronics (1978-1994)
-
ZIEGLER, J. F., CURTIS, H. W., MUHLFELD, H. P.,MONTROSE, C. J., CHIN, B., NICEWICZ, M., RUSSELL, C. A.,WANG, W. Y., FREEMAN, L. B., HOSIER, P., LAFAVE, L. E., WALSH, J. L., ORRO, J. M., UNGER, G. J., ROSS, J. M., O'GORMAN, T. J.,MESSINA, B., SULLIVAN, T. D., SYKES, A. J., YOURKE, H., ENGER, T. A., TOLAT, V., SCOTT, T. S., TABER, A. H., SUSSMAN, R. J., KLEIN, W. A., AND WAHAUS, C. W. 1996. Ibm experiments in soft fails in computer electronics (1978-1994). IBM J. Res. Devel. 40, 1, 3-18.
-
(1996)
IBM J. Res. Devel.
, vol.40
, Issue.1
, pp. 3-18
-
-
Ziegler, J.F.1
Curtis, H.W.2
Muhlfeld, H.P.3
Montrose, C.J.4
Chin, B.5
Nicewicz, M.6
Russell, C.A.7
Wang, W.Y.8
Freeman, L.B.9
Hosier, P.10
Lafave, L.E.11
Walsh, J.L.12
Orro, J.M.13
Unger, G.J.14
Ross, J.M.15
O'gorman, T.J.16
Messina, B.17
Sullivan, T.D.18
Sykes, A.J.19
Yourke, H.20
Enger, T.A.21
Tolat, V.22
Scott, T.S.23
Taber, A.H.24
Sussman, R.J.25
Klein, W.A.26
Wahaus, C.W.27
more..
|