-
1
-
-
52049088909
-
On-line failure detection and confinement in caches
-
J. Abella, P. Chaparro, X. Vera, J. Carretero, and A. González. On-Line Failure Detection and Confinement in Caches. In IOLTS, 2008.
-
(2008)
IOLTS
-
-
Abella, J.1
Chaparro, P.2
Vera, X.3
Carretero, J.4
González, A.5
-
2
-
-
40349090128
-
Die-stacking (3D) microarchitecture
-
B. Black, M. M. Annavaram, E. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCauley, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. P. Shen, and C. Webb. Die-Stacking (3D) Microarchitecture. In MICRO-39, 2006.
-
(2006)
MICRO-39
-
-
Black, B.1
Annavaram, M.M.2
Brekelbaum, E.3
DeVale, J.4
Jiang, L.5
Loh, G.H.6
McCauley, D.7
Morrow, P.8
Nelson, D.W.9
Pantuso, D.10
Reed, P.11
Rupley, J.12
Shankar, S.13
Shen, J.P.14
Webb, C.15
-
4
-
-
0015142451
-
Electromigration and failure in electronics: An introduction
-
F. M. d'Heurle. Electromigration and Failure in Electronics: An Introduction. Proceedings of the IEEE, 59(10), 1971.
-
(1971)
Proceedings of the IEEE
, vol.59
, Issue.10
-
-
D'Heurle, F.M.1
-
5
-
-
78650833009
-
Simple but effective heterogeneous main memory with on-chip memory controller support
-
X. Dong, Y. Xie, N. Muralimanohar, and N. P. Jouppi. Simple but Effective Heterogeneous Main Memory with On-Chip Memory Controller Support. In SC, 2010.
-
(2010)
SC
-
-
Dong, X.1
Xie, Y.2
Muralimanohar, N.3
Jouppi, N.P.4
-
7
-
-
47249094055
-
System-level performance metrics for multiprogram workloads
-
May-June
-
S. Eyerman and L. Eeckhout. System-Level Performance Metrics for Multiprogram Workloads. IEEE Micro Magazine, 28(3), May-June 2008.
-
(2008)
IEEE Micro Magazine
, vol.28
, Issue.3
-
-
Eyerman, S.1
Eeckhout, L.2
-
8
-
-
84943817322
-
Error detecting and error correcting codes
-
R. W. Hamming. Error Detecting and Error Correcting Codes. Bell System Technical Journal, 29(2), 1950.
-
(1950)
Bell System Technical Journal
, vol.29
, Issue.2
-
-
Hamming, R.W.1
-
9
-
-
84881119542
-
-
HPArch
-
HPArch. MacSim Simulator. http://code.google.com/p/macsim/.
-
MacSim Simulator
-
-
-
10
-
-
84858781341
-
Cosmic rays don't strike twice: Understanding the nature of DRAM errors and the implications for system design
-
A. A. Hwang, I. A. Stefanovici, and B. Schroeder. Cosmic Rays Don't Strike Twice: Understanding the Nature of DRAM Errors and the Implications for System Design. In ASPLOS-XVII, 2012.
-
(2012)
ASPLOS-XVII
-
-
Hwang, A.A.1
Stefanovici, I.A.2
Schroeder, B.3
-
12
-
-
84881139605
-
Footprint cache: Effective page-based DRAM caching for servers
-
D. Jevdjic, S. Volos, and B. Falsafi. Footprint Cache: Effective Page-based DRAM Caching for Servers. In ISCA-40, 2013.
-
(2013)
ISCA-40
-
-
Jevdjic, D.1
Volos, S.2
Falsafi, B.3
-
13
-
-
77952556352
-
CHOP: Adaptive filter-based DRAM caching for CMP server platforms
-
X. Jiang, N. Madan, L. Zhao, M. Upton, R. Iyer, S. Makineni, D. Newell, Y. Solihin, and R. Balasubramonian. CHOP: Adaptive Filter-Based DRAM Caching for CMP Server Platforms. In HPCA-16, 2010.
-
(2010)
HPCA-16
-
-
Jiang, X.1
Madan, N.2
Zhao, L.3
Upton, M.4
Iyer, R.5
Makineni, S.6
Newell, D.7
Solihin, Y.8
Balasubramonian, R.9
-
14
-
-
84881189785
-
-
Joint Electron Devices Engineering Council. JEDEC: 3D-ICs. http://www.jedec.org/category/technology-focus-area/3d-ics-0.
-
JEDEC: 3D-ICs
-
-
-
15
-
-
77951194761
-
Power7: IBM's next-generation server processor
-
March-April
-
R. Kalla, B. Sinharoy, W. J. Starke, and M. Floyd. Power7: IBM's Next-Generation Server Processor. IEEE Micro Magazine, 30(2), March-April 2010.
-
(2010)
IEEE Micro Magazine
, vol.30
, Issue.2
-
-
Kalla, R.1
Sinharoy, B.2
Starke, W.J.3
Floyd, M.4
-
16
-
-
0026257569
-
Optimized redundancy selection based on failure-related yield model for 64-mb DRAM and beyond
-
S. Kikuda, H. Miyamoto, S. Mori, M. Niiro, and M. Yamada. Optimized Redundancy Selection Based on Failure-Related Yield Model for 64-Mb DRAM and Beyond. IEEE Journal of Solid-State Circuits, 26(11), 1991.
-
(1991)
IEEE Journal of Solid-State Circuits
, vol.26
, Issue.11
-
-
Kikuda, S.1
Miyamoto, H.2
Mori, S.3
Niiro, M.4
Yamada, M.5
-
17
-
-
79955711352
-
A 1.2V 12.8GB/s 2Gb mobile wide-I/O DRAM with 4×128 I/Os using TSV-based stacking
-
J.-S. Kim et al. A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4×128 I/Os Using TSV-Based Stacking. In ISSCC, 2011.
-
(2011)
ISSCC
-
-
Kim, J.-S.1
-
18
-
-
4544268912
-
Cyclic redundancy code (CRC) polynomial selection for embedded networks
-
P. Koopman and T. Chakravarty. Cyclic Redundancy Code (CRC) Polynomial Selection for Embedded Networks. In DSN, 2004.
-
(2004)
DSN
-
-
Koopman, P.1
Chakravarty, T.2
-
19
-
-
76749092678
-
Improving memory bank-level parallelism in the presence of prefetching
-
C. J. Lee, V. Narasiman, O. Mutlu, and Y. N. Patt. Improving Memory Bank-Level Parallelism in the Presence of Prefetching. In MICRO-42, 2009.
-
(2009)
MICRO-42
-
-
Lee, C.J.1
Narasiman, V.2
Mutlu, O.3
Patt, Y.N.4
-
20
-
-
84937864746
-
Eager writeback - A technique for improving bandwidth utilization
-
H.-H. S. Lee, G. S. Tyson, and M. K. Farrens. Eager Writeback - a Technique for Improving Bandwidth Utilization. In MICRO-33, 2000.
-
(2000)
MICRO-33
-
-
Lee, H.-H.S.1
Tyson, G.S.2
Farrens, M.K.3
-
21
-
-
52649125840
-
3D-stacked memory architectures for multi-core processors
-
G. H. Loh. 3D-Stacked Memory Architectures for Multi-Core Processors. In ISCA-35, 2008.
-
(2008)
ISCA-35
-
-
Loh, G.H.1
-
22
-
-
84858776535
-
Efficiently enabling conventional block sizes for very large die-stacked DRAM caches
-
G. H. Loh and M. D. Hill. Efficiently Enabling Conventional Block Sizes for Very Large Die-Stacked DRAM Caches. In MICRO-44, 2011.
-
(2011)
MICRO-44
-
-
Loh, G.H.1
Hill, M.D.2
-
23
-
-
41349091201
-
Argus: Low-cost, comprehensive error detection in simple cores
-
A. Meixner, M. E. Bauer, and D. Sorin. Argus: Low-Cost, Comprehensive Error Detection in Simple Cores. In MICRO-40, 2007.
-
(2007)
MICRO-40
-
-
Meixner, A.1
Bauer, M.E.2
Sorin, D.3
-
24
-
-
84944403418
-
A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor
-
S. S. Mukherjee, C. Weaver, J. Emer, S. K. Reinhardt, and T. Austin. A Systematic Methodology to Compute the Architectural Vulnerability Factors for a High-Performance Microprocessor. In MICRO-36, 2003.
-
(2003)
MICRO-36
-
-
Mukherjee, S.S.1
Weaver, C.2
Emer, J.3
Reinhardt, S.K.4
Austin, T.5
-
26
-
-
84876588873
-
Hybrid memory cube: Breakthrough DRAM performance with a fundamentally re-architected DRAM subsystem
-
J. T. Pawlowski. Hybrid Memory Cube: Breakthrough DRAM Performance with a Fundamentally Re-Architected DRAM Subsystem. In Hot Chips 23, 2011.
-
(2011)
Hot Chips 23
-
-
Pawlowski, J.T.1
-
27
-
-
81455143430
-
SEEs induced by high-energy protons and neutrons in SDRAM
-
H. Quinn, P. Graham, and T. Fairbanks. SEEs Induced by High-Energy Protons and Neutrons in SDRAM. In REDW, 2011.
-
(2011)
REDW
-
-
Quinn, H.1
Graham, P.2
Fairbanks, T.3
-
28
-
-
84876531087
-
Fundamental latency trade-offs in architecting DRAM caches
-
M. K. Qureshi and G. H. Loh. Fundamental Latency Trade-offs in Architecting DRAM Caches. In MICRO-45, 2012.
-
(2012)
MICRO-45
-
-
Qureshi, M.K.1
Loh, G.H.2
-
30
-
-
84878002768
-
Xilinx stacked silicon interconnect technology delivers breakthrough FPGA capacity, bandwidth, and power efficiency
-
Xilinx WP380 (v1.1)
-
K. Saban. Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency. White paper, Xilinx, 2011. WP380 (v1.1).
-
(2011)
White Paper
-
-
Saban, K.1
-
31
-
-
0041340533
-
Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing
-
D. K. Schroder and J. A. Babcock. Negative Bias Temperature Instability: Road to Cross in Deep Submicron Silicon Semiconductor Manufacturing. Journal of Applied Physics, 94(1):1-18, 2003.
-
(2003)
Journal of Applied Physics
, vol.94
, Issue.1
, pp. 1-18
-
-
Schroder, D.K.1
Babcock, J.A.2
-
33
-
-
84876515756
-
A mostly-clean DRAM cache for effective hit speculation and self-balancing dispatch
-
J. Sim, G. H. Loh, H. Kim, M. O'Connor, and M. Thottethodi. A Mostly-Clean DRAM Cache for Effective Hit Speculation and Self-Balancing Dispatch. In MICRO-45, 2012.
-
(2012)
MICRO-45
-
-
Sim, J.1
Loh, G.H.2
Kim, H.3
O'Connor, M.4
Thottethodi, M.5
-
34
-
-
0034443570
-
Symbiotic job scheduling for a simultaneous multithreading processor
-
A. Snavely and D. Tullsen. Symbiotic Job Scheduling for a Simultaneous Multithreading Processor. In ASPLOS-IX, 2000.
-
(2000)
ASPLOS-IX
-
-
Snavely, A.1
Tullsen, D.2
-
35
-
-
84877721508
-
A study of DRAM failures in the field
-
V. Sridharan and D. Liberty. A Study of DRAM Failures in the Field. In SC, 2012.
-
(2012)
SC
-
-
Sridharan, V.1
Liberty, D.2
-
36
-
-
84864832751
-
LOT-ECC: LOcalized and tiered reliability mechanisms for commodity memory systems
-
A. Udipi, N. Muralimanohar, R. Balasubramonian, A. Davis, and N. P. Jouppi. LOT-ECC: LOcalized and Tiered Reliability Mechanisms for Commodity Memory Systems. In ISCA-39, 2012.
-
(2012)
ISCA-39
-
-
Udipi, A.1
Muralimanohar, N.2
Balasubramonian, R.3
Davis, A.4
Jouppi, N.P.5
-
37
-
-
77954989143
-
Rethinking DRAM design and and organization for energy-constrained multi-cores
-
A. Udipi, N. Muralimanohar, N. Chatterjee, R. Balasubramonian, A. Davis, and N. P. Jouppi. Rethinking DRAM Design and and Organization for Energy-Constrained Multi-Cores. In ISCA-37, 2010.
-
(2010)
ISCA-37
-
-
Udipi, A.1
Muralimanohar, N.2
Chatterjee, N.3
Balasubramonian, R.4
Davis, A.5
Jouppi, N.P.6
-
38
-
-
0003158656
-
Hitting the memory wall: Implications of the obvious
-
March
-
W. A. Wulf and S. A. McKee. Hitting the Memory Wall: Implications of the Obvious. Computer Architecture News, 23(1):20-24, March 1995.
-
(1995)
Computer Architecture News
, vol.23
, Issue.1
, pp. 20-24
-
-
Wulf, W.A.1
McKee, S.A.2
-
39
-
-
70450225732
-
Memory mapped ECC: Low-cost error protection for last level caches
-
D. H. Yoon and M. Erez. Memory Mapped ECC: Low-Cost Error Protection for Last Level Caches. In ISCA-36, 2009.
-
(2009)
ISCA-36
-
-
Yoon, D.H.1
Erez, M.2
-
40
-
-
77952257218
-
Virtualized and flexible ECC for main memory
-
D. H. Yoon and M. Erez. Virtualized and Flexible ECC for Main Memory. In ASPLOS-XV, 2010.
-
(2010)
ASPLOS-XV
-
-
Yoon, D.H.1
Erez, M.2
-
42
-
-
66749162556
-
Mini-rank: Adaptive DRAM architecture for improving memory power efficiency
-
H. Zheng, J. Lin, Z. Zhang, E. Gorbatov, H. David, and Z. Zhu. Mini-Rank: Adaptive DRAM Architecture for Improving Memory Power Efficiency. In MICRO-41, 2008.
-
(2008)
MICRO-41
-
-
Zheng, H.1
Lin, J.2
Zhang, Z.3
Gorbatov, E.4
David, H.5
Zhu, Z.6
|