-
1
-
-
84881169481
-
TMS320C6000 CPU and instruction set reference guide
-
"TMS320C6000 CPU and instruction set reference guide," Texas Instruments, Tech. Rep., 2006.
-
(2006)
Texas Instruments, Tech. Rep.
-
-
-
2
-
-
66749140113
-
Low-power, high-performance analog neural branch prediction
-
Como
-
R. S. Amant, D. A. Jimenez, and D. Burger, "Low-power, high-performance analog neural branch prediction," in International Symposium on Microarchitecture, Como, 2008.
-
(2008)
International Symposium on Microarchitecture
-
-
Amant, R.S.1
Jimenez, D.A.2
Burger, D.3
-
3
-
-
79955521201
-
Silicon-neuron design: A dynamical systems approach
-
IEEE Transactions on
-
J. V. Arthur and K. Boahen, "Silicon-Neuron Design: A Dynamical Systems Approach," Circuits and Systems I: Regular Papers, IEEE Transactions on, Vol. 58, no. 99, p. 1, 2011.
-
(2011)
Circuits and Systems I: Regular Papers
, vol.58
, Issue.99
, pp. 1
-
-
Arthur, J.V.1
Boahen, K.2
-
4
-
-
84859464490
-
The gem5 simulator
-
Aug.
-
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood, "The gem5 simulator," SIGARCH Comput. Archit. News, Vol. 39, no. 2, pp. 1-7, Aug. 2011.
-
(2011)
SIGARCH Comput. Archit. News
, vol.39
, Issue.2
, pp. 1-7
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
5
-
-
0033740171
-
Point-to-point connectivity between neuromorphic chips using address events
-
K. A. Boahen, "Point-to-point connectivity between neuromorphic chips using address events," IEEE Transactions on Circuits and Systems, Vol. 47, no. 5, pp. 416-434, 2000.
-
(2000)
IEEE Transactions on Circuits and Systems
, vol.47
, Issue.5
, pp. 416-434
-
-
Boahen, K.A.1
-
6
-
-
70350712402
-
Design perspectives on 22nm CMOS and beyond
-
Jul
-
S. Borkar, "Design perspectives on 22nm CMOS and beyond," in Design Automation Conference, Jul. 2009, pp. 93-94.
-
(2009)
Design Automation Conference
, pp. 93-94
-
-
Borkar, S.1
-
8
-
-
34047100388
-
Ultra-efficient (embedded) SOC architectures based on probabilistic CMOS (PCMOS) technology
-
Munich
-
L. N. Chakrapani, B. E. S. Akgul, S. Cheemalavagu, P. Korkmaz, K. V. Palem, and B. Seshasayee, "Ultra-efficient (embedded) SOC architectures based on probabilistic CMOS (PCMOS) technology," in Design, Automation and Test in Europe Conference, Munich, 2006, p. 1110.
-
(2006)
Design, Automation and Test in Europe Conference
, pp. 1110
-
-
Chakrapani, L.N.1
Akgul, B.E.S.2
Cheemalavagu, S.3
Korkmaz, P.4
Palem, K.V.5
Seshasayee, B.6
-
9
-
-
84866735724
-
A ferroelectric memristor
-
Oct. http://dx.doi.org/10.1038/nmat3415
-
A. Chanthbouala, V. Garcia, R. O. Cherifi, K. Bouzehouane, S. Fusil, X. Moya, S. Xavier, H. Yamada, C. Deranlot, N. D. Mathur, M. Bibes, A. Barthélémy, and J. Grollier, "A ferroelectric memristor.," Nature materials, Vol. 11, no. 10, pp. 860-4, Oct. 2012. [Online]. Available: http://dx.doi.org/10.1038/nmat3415
-
(2012)
Nature Materials
, vol.11
, Issue.10
, pp. 860-864
-
-
Chanthbouala, A.1
Garcia, V.2
Cherifi, R.O.3
Bouzehouane, K.4
Fusil, S.5
Moya, X.6
Xavier, S.7
Yamada, H.8
Deranlot, C.9
Mathur, N.D.10
Bibes, M.11
Barthélémy, A.12
Grollier, J.13
-
10
-
-
37749042762
-
Bayesian spiking neurons I: Inference
-
S. Deneve, "Bayesian Spiking Neurons I: Inference," Neural Computation, Vol. 117, pp. 91-117, 2008.
-
(2008)
Neural Computation
, vol.117
, pp. 91-117
-
-
Deneve, S.1
-
12
-
-
80052528714
-
Dark silicon and the end of multicore scaling
-
Jun.
-
H. Esmaeilzadeh, E. Blem, R. S. Amant, K. Sankaralingam, and D. Burger, "Dark Silicon and the End of Multicore Scaling," in Proceedings of the 38th International Symposium on Computer Architecture (ISCA), Jun. 2011.
-
(2011)
Proceedings of the 38th International Symposium on Computer Architecture (ISCA)
-
-
Esmaeilzadeh, H.1
Blem, E.2
Amant, R.S.3
Sankaralingam, K.4
Burger, D.5
-
13
-
-
84858790858
-
Architecture support for disciplined approximate programming
-
T. Harris and M. L. Scott, Eds. ACM
-
H. Esmaeilzadeh, A. Sampson, L. Ceze, and D. Burger, "Architecture support for disciplined approximate programming," in ASPLOS, T. Harris and M. L. Scott, Eds. ACM, 2012, pp. 301-312.
-
(2012)
ASPLOS
, pp. 301-312
-
-
Esmaeilzadeh, H.1
Sampson, A.2
Ceze, L.3
Burger, D.4
-
15
-
-
64849117951
-
Bridging the computation gap between programmable processors and hardwired accelerators
-
IEEE Computer Society
-
K. Fan, M. Kudlur, G. S. Dasika, and S. A. Mahlke, "Bridging the computation gap between programmable processors and hardwired accelerators," in HPCA. IEEE Computer Society, 2009, pp. 313-322.
-
(2009)
HPCA
, pp. 313-322
-
-
Fan, K.1
Kudlur, M.2
Dasika, G.S.3
Mahlke, S.A.4
-
17
-
-
28444448056
-
Large-scale field-programmable analog arrays for analog signal processing
-
T. S. Hall, C. M. Twigg, J. D. Gray, P. Hasler, and D. V. Anderson, "Large-Scale Field-Programmable Analog Arrays for Analog Signal Processing," IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, Vol. 52, no. 11, pp. 2298-2307, 2005.
-
(2005)
IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications
, vol.52
, Issue.11
, pp. 2298-2307
-
-
Hall, T.S.1
Twigg, C.M.2
Gray, J.D.3
Hasler, P.4
Anderson, D.V.5
-
18
-
-
77954995378
-
Understanding sources of inefficiency in general-purpose chips
-
New York, New York, USA: ACM Press
-
R. Hameed, W. Qadeer, M. Wachs, O. Azizi, A. Solomatnikov, B. C. Lee, S. Richardson, C. Kozyrakis, and M. Horowitz, "Understanding sources of inefficiency in general-purpose chips," in International Symposium on Computer Architecture. New York, New York, USA: ACM Press, 2010, p. 37.
-
(2010)
International Symposium on Computer Architecture
, pp. 37
-
-
Hameed, R.1
Qadeer, W.2
Wachs, M.3
Azizi, O.4
Solomatnikov, A.5
Lee, B.C.6
Richardson, S.7
Kozyrakis, C.8
Horowitz, M.9
-
19
-
-
79953071809
-
A case for neuromorphic ISAs
-
New York, NY: ACM
-
A. Hashmi, A. Nere, J. J. Thomas, and M. Lipasti, "A case for neuromorphic ISAs," in International Conference on Architectural Support for Programming Languages and Operating Systems. New York, NY: ACM, 2011.
-
(2011)
International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Hashmi, A.1
Nere, A.2
Thomas, J.J.3
Lipasti, M.4
-
20
-
-
84865101579
-
Hardware spiking neurons design: Analog or digital?
-
Brisbane
-
A. Joubert, B. Belhadj, O. Temam, and R. Heliot, "Hardware Spiking Neurons Design: Analog or Digital?," in International Joint Conference on Neural Networks, Brisbane, 2012.
-
(2012)
International Joint Conference on Neural Networks
-
-
Joubert, A.1
Belhadj, B.2
Temam, O.3
Heliot, R.4
-
22
-
-
33745805907
-
Measuring the gap between FPGAs and ASICs
-
New York, NY, USA: ACM, Feb.
-
I. Kuon and J. Rose, "Measuring the gap between FPGAs and ASICs," in International Symposium on Field Programmable Gate Arrays, ser. FPGA '06. New York, NY, USA: ACM, Feb. 2006, pp. 21-30.
-
(2006)
International Symposium on Field Programmable Gate Arrays, ser. FPGA '06
, pp. 21-30
-
-
Kuon, I.1
Rose, J.2
-
23
-
-
20344381220
-
Directional and single-driver wires in FPGA interconnect
-
IEEE
-
G. Lemieux, E. Lee, M. Tom, and A. Yu, "Directional and Single-Driver Wires in FPGA Interconnect," in International Conference on Field-Programmable Technology. IEEE, 2004, pp. 41-48.
-
(2004)
International Conference on Field-Programmable Technology
, pp. 41-48
-
-
Lemieux, G.1
Lee, E.2
Tom, M.3
Yu, A.4
-
24
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
New York, NY, USA: ACM
-
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi, "McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures," in Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO 42. New York, NY, USA: ACM, 2009, pp. 469-480.
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO 42
, pp. 469-480
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
26
-
-
80455149790
-
A digital neurosynaptic core using embedded crossbar memory with 45pJ per spike in 45nm
-
IEEE, Sep.
-
P. Merolla, J. Arthur, F. Akopyan, N. Imam, R. Manohar, and D. Modha, "A digital neurosynaptic core using embedded crossbar memory with 45pJ per spike in 45nm," in IEEE Custom Integrated Circuits Conference. IEEE, Sep. 2011, pp. 1-4.
-
(2011)
IEEE Custom Integrated Circuits Conference
, pp. 1-4
-
-
Merolla, P.1
Arthur, J.2
Akopyan, F.3
Imam, N.4
Manohar, R.5
Modha, D.6
-
28
-
-
84881192453
-
-
L. N. Y. A. P. Martin, Ed.
-
A. Rose, Advances in Electronics, Vol. 1, L. N. Y. A. P. Martin, Ed., 1948.
-
(1948)
Advances in Electronics
, vol.1
-
-
Rose, A.1
-
29
-
-
67650295931
-
State-dependent computation using coupled recurrent networks
-
U. Rutishauser and R. J. Douglas, "State-dependent computation using coupled recurrent networks," Neural computation, Vol. 21, no. 2, pp. 478-509, 2009.
-
(2009)
Neural Computation
, vol.21
, Issue.2
, pp. 478-509
-
-
Rutishauser, U.1
Douglas, R.J.2
-
30
-
-
0036720104
-
Scalable hybrid computation with spikes
-
R. Sarpeshkar and M. O'Halloran, "Scalable hybrid computation with spikes.," Neural computation, Vol. 14, no. 9, pp. 2003-2038, 2002.
-
(2002)
Neural Computation
, vol.14
, Issue.9
, pp. 2003-2038
-
-
Sarpeshkar, R.1
O'Halloran, M.2
-
31
-
-
56349166622
-
Wafer-scale integration of analog neural networks
-
Jun
-
J. Schemmel, J. Fieres, and K. Meier, "Wafer-scale integration of analog neural networks," in International Joint Conference on Neural Networks. Ieee, Jun. 2008, pp. 431-438.
-
(2008)
International Joint Conference on Neural Networks. Ieee
, pp. 431-438
-
-
Schemmel, J.1
Fieres, J.2
Meier, K.3
-
32
-
-
70349253937
-
CAVIAR: A 45k neuron, 5M synapse, 12G connects/s AER hardware sensory-processing-learning-actuating system for high-speed visual object recognition and tracking
-
Sep.
-
R. Serrano-Gotarredona, M. Oster, P. Lichtsteiner, A. Linares-Barranco, R. Paz-Vicente, F. Gomez-Rodriguez, L. Camunas-Mesa, R. Berner, M. Rivas-Perez, T. Delbruck, S.-C. Liu, R. Douglas, P. Hafliger, G. Jimenez-Moreno, A. Civit Ballcels, T. Serrano-Gotarredona, A. J. Acosta-Jimenez, and B. Linares-Barranco, "CAVIAR: a 45k neuron, 5M synapse, 12G connects/s AER hardware sensory-processing-learning-actuating system for high-speed visual object recognition and tracking.," IEEE transactions on neural networks, Vol. 20, no. 9, pp. 1417-38, Sep. 2009.
-
(2009)
IEEE Transactions on Neural Networks
, vol.20
, Issue.9
, pp. 1417-1438
-
-
Serrano-Gotarredona, R.1
Oster, M.2
Lichtsteiner, P.3
Linares-Barranco, A.4
Paz-Vicente, R.5
Gomez-Rodriguez, F.6
Camunas-Mesa, L.7
Berner, R.8
Rivas-Perez, M.9
Delbruck, T.10
Liu, S.-C.11
Douglas, R.12
Hafliger, P.13
Jimenez-Moreno, G.14
Civit Ballcels, A.15
Serrano-Gotarredona, T.16
Acosta-Jimenez, A.J.17
Linares-Barranco, B.18
-
33
-
-
84881179331
-
A case for hybrid discrete-continuous architectures
-
no. Rapid Posts
-
S. Sethumadhavan, R. Roberts, and Y. Tsividis, "A Case for Hybrid Discrete-Continuous Architectures," IEEE Computer Architecture Letters, Vol. 99, no. Rapid Posts, 2011.
-
(2011)
IEEE Computer Architecture Letters
, vol.99
-
-
Sethumadhavan, S.1
Roberts, R.2
Tsividis, Y.3
-
34
-
-
35948993879
-
Neurotech for neuroscience: Unifying concepts, organizing principles, and emerging tools
-
Oct.
-
R. Silver, K. Boahen, S. Grillner, N. Kopell, and K. L. Olsen, "Neurotech for neuroscience: unifying concepts, organizing principles, and emerging tools.," The Journal of neuroscience: the official journal of the Society for Neuroscience, Vol. 27, no. 44, pp. 11 807-19, Oct. 2007.
-
(2007)
The Journal of Neuroscience: The Official Journal of the Society for Neuroscience
, vol.27
, Issue.44
, pp. 11807-11819
-
-
Silver, R.1
Boahen, K.2
Grillner, S.3
Kopell, N.4
Olsen, K.L.5
-
35
-
-
0017296020
-
A proposed mechanism for multiplication of neural signals
-
M. V. Srinivasan and G. D. Bernard, "A proposed mechanism for multiplication of neural signals," Biological Cybernetics, Vol. 21, no. 4, pp. 227-236, 1976.
-
(1976)
Biological Cybernetics
, vol.21
, Issue.4
, pp. 227-236
-
-
Srinivasan, M.V.1
Bernard, G.D.2
-
36
-
-
84862328133
-
Life after dennard and how I learned to love the picojoule (keynote)
-
Sao Paolo, Dec. Keynote presentation
-
Steve Keckler, "Life After Dennard and How I Learned to Love the Picojoule (keynote)," in International Symposium on Microarchitecture, Sao Paolo, Dec. 2011, p. Keynote presentation.
-
(2011)
International Symposium on Microarchitecture
-
-
Keckler, S.1
-
37
-
-
84864858301
-
A defect-tolerant accelerator for emerging high-performance applications
-
Portland, Oregon
-
O. Temam, "A Defect-Tolerant Accelerator for Emerging High-Performance Applications," in International Symposium on Computer Architecture, Portland, Oregon, 2012.
-
(2012)
International Symposium on Computer Architecture
-
-
Temam, O.1
-
39
-
-
84959045524
-
StreamIt: A language for streaming applications
-
Berlin, Heidelberg: Springer, Mar.
-
W. Thies, M. Karczmarek, and S. P. Amarasinghe, "StreamIt: A Language for Streaming Applications," in Compiler Construction, ser. Lecture Notes in Computer Science, Vol. 2304. Berlin, Heidelberg: Springer, Mar. 2002.
-
(2002)
Compiler Construction, ser. Lecture Notes in Computer Science
, vol.2304
-
-
Thies, W.1
Karczmarek, M.2
Amarasinghe, S.P.3
-
40
-
-
77953005821
-
Population models of temporal differentiation
-
B. P. Tripp and C. Eliasmith, "Population models of temporal differentiation.," Neural computation, Vol. 22, no. 3, pp. 621-659, 2010.
-
(2010)
Neural Computation
, vol.22
, Issue.3
, pp. 621-659
-
-
Tripp, B.P.1
Eliasmith, C.2
-
41
-
-
0034760630
-
Building blocks for electronic spiking neural networks
-
A. van Schaik, "Building blocks for electronic spiking neural networks.," Neural networks, Vol. 14, no. 6-7, pp. 617-628, 2001.
-
(2001)
Neural Networks
, vol.14
, Issue.6-7
, pp. 617-628
-
-
Van Schaik, A.1
-
42
-
-
84864848241
-
QsCORES: Trading dark silicon for scalable energy efficiency with quasi-specific cores categories and subject descriptors
-
G. Venkatesh, J. Sampson, N. Goulding-hotta, S. K. Venkata, M. B. Taylor, and S. Swanson, "QsCORES: Trading Dark Silicon for Scalable Energy Efficiency with Quasi-Specific Cores Categories and Subject Descriptors," in International Symposium on Microarchitecture, 2011.
-
(2011)
International Symposium on Microarchitecture
-
-
Venkatesh, G.1
Sampson, J.2
Goulding-Hotta, N.3
Venkata, S.K.4
Taylor, M.B.5
Swanson, S.6
-
43
-
-
33846098196
-
Dynamically reconfigurable silicon array of spiking neurons with conductance-based synapses
-
R. J. Vogelstein, U. Mallik, J. T. Vogelstein, and G. Cauwenberghs, "Dynamically reconfigurable silicon array of spiking neurons with conductance-based synapses," IEEE Transactions on Neural Networks, Vol. 18, no. 1, pp. 253-265, 2007.
-
(2007)
IEEE Transactions on Neural Networks
, vol.18
, Issue.1
, pp. 253-265
-
-
Vogelstein, R.J.1
Mallik, U.2
Vogelstein, J.T.3
Cauwenberghs, G.4
|