메뉴 건너뛰기




Volumn , Issue , 2013, Pages 378-389

Breaking the on-chip latency barrier using SMART

Author keywords

[No Author keywords available]

Indexed keywords

CACHE COHERENCE PROTOCOLS; FULL-SYSTEM SIMULATION; MICRO ARCHITECTURES; MULTI-HOP PATH; MULTIPLE HOPS; NETWORK LATENCIES; ON-CHIP NETWORKS; TRAFFIC PATTERN;

EID: 84880266578     PISSN: 15300897     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/HPCA.2013.6522334     Document Type: Conference Paper
Times cited : (104)

References (36)
  • 1
    • 84880286491 scopus 로고    scopus 로고
    • http://www.tilera.com/products/processors/TILE-Gx-Family.
  • 2
    • 84880291918 scopus 로고    scopus 로고
    • http://projects.csail.mit.edu/angstrom.
  • 3
    • 84880312996 scopus 로고    scopus 로고
    • http://www-flash.stanford.edu/apps/SPLASH/.
  • 4
    • 84880323794 scopus 로고    scopus 로고
    • http://www.windriver.com/products/simics.
  • 5
    • 70049105948 scopus 로고    scopus 로고
    • GARNET: A detailed on-chip network model inside a full-system simulator
    • N. Agarwal, T. Krishna, L.-S. Peh, and N. K. Jha. GARNET: A detailed on-chip network model inside a full-system simulator. In ISPASS, pages 33-42, 2009.
    • (2009) ISPASS , pp. 33-42
    • Agarwal, N.1    Krishna, T.2    Peh, L.-S.3    Jha, N.K.4
  • 6
    • 27544481926 scopus 로고    scopus 로고
    • Variability in architectural simulations of multi-threaded workloads
    • A. R. Alameldeen and D. A. Wood. Variability in architectural simulations of multi-threaded workloads. In HPCA, 2003.
    • (2003) HPCA
    • Alameldeen, A.R.1    Wood, D.A.2
  • 7
    • 0036761283 scopus 로고    scopus 로고
    • Chain: A delay-insensitive chip area interconnect
    • Sept
    • J. Bainbridge and S. Furber. Chain: A delay-insensitive chip area interconnect. IEEE Micro, 22(5):16-23, Sept 2002.
    • (2002) IEEE Micro , vol.22 , Issue.5 , pp. 16-23
    • Bainbridge, J.1    Furber, S.2
  • 8
    • 34547471544 scopus 로고    scopus 로고
    • Design tradeoffs for tiled CMP on-chip networks
    • J. Balfour and W. J. Dally. Design tradeoffs for tiled CMP on-chip networks. In ICS, pages 187-198, 2006.
    • (2006) ICS , pp. 187-198
    • Balfour, J.1    Dally, W.J.2
  • 9
    • 63549095070 scopus 로고    scopus 로고
    • The PARSEC benchmark suite: Characterization and architectural implications
    • C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. In PACT, 2008.
    • (2008) PACT
    • Bienia, C.1    Kumar, S.2    Singh, J.P.3    Li, K.4
  • 10
    • 27344444925 scopus 로고    scopus 로고
    • A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip
    • T. Bjerregaard and J. Sparso. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip. In DATE, pages 1226-1231, 2005.
    • (2005) DATE , pp. 1226-1231
    • Bjerregaard, T.1    Sparso, J.2
  • 12
    • 80052522708 scopus 로고    scopus 로고
    • Kilo-NOC: A heterogeneous network-on-chip architecture for scalability and service guarantees
    • B. Grot, J. Hestness, S.W. Keckler, and O. Mutlu. Kilo-NOC: A heterogeneous network-on-chip architecture for scalability and service guarantees. In ISCA, pages 401-412, 2011.
    • (2011) ISCA , pp. 401-412
    • Grot, B.1    Hestness, J.2    Keckler, S.W.3    Mutlu, O.4
  • 13
    • 64949096127 scopus 로고    scopus 로고
    • Express cube topologies for on-chip interconnects
    • B. Grot et al. Express cube topologies for on-chip interconnects. In HPCA, pages 163-174, 2009.
    • (2009) HPCA , pp. 163-174
    • Grot, B.1
  • 14
    • 84859704790 scopus 로고    scopus 로고
    • The IBM blue gene/Q compute chip
    • Mar
    • R. Haring et al. The IBM Blue Gene/Q Compute Chip. IEEE Micro, 32(2):48-60, Mar 2012.
    • (2012) IEEE Micro , vol.32 , Issue.2 , pp. 48-60
    • Haring, R.1
  • 15
    • 36849022584 scopus 로고    scopus 로고
    • A 5-GHz mesh interconnect for a teraflops processor
    • Sept
    • Y. Hoskote et al. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro, 27(5):51-61, Sept. 2007.
    • (2007) IEEE Micro , vol.27 , Issue.5 , pp. 51-61
    • Hoskote, Y.1
  • 16
    • 77952123736 scopus 로고    scopus 로고
    • A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS
    • J. Howard et al. A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS. In ISSCC, pages 108-109, 2010.
    • (2010) ISSCC , pp. 108-109
    • Howard, J.1
  • 18
    • 79961089172 scopus 로고    scopus 로고
    • Rigel: A 1, 024-core single-chip accelerator architecture
    • Jul
    • D. Johnson et al. Rigel: A 1,024-core single-chip accelerator architecture. IEEE Micro, 31(4):30-41, Jul 2011.
    • (2011) IEEE Micro , vol.31 , Issue.4 , pp. 30-41
    • Johnson, D.1
  • 19
    • 82155192300 scopus 로고    scopus 로고
    • CNoC: High-radix clos network-on-chip
    • Y.-H. Kao et al. CNoC: High-radix clos network-on-chip. TCAD, 30(12):1897-1910, 2011.
    • (2011) TCAD , vol.30 , Issue.12 , pp. 1897-1910
    • Kao, Y.-H.1
  • 20
    • 50249133214 scopus 로고    scopus 로고
    • Equalized interconnects for onchip networks: Modeling and optimization framework
    • B. Kim and V. Stojanovic. Equalized interconnects for onchip networks: modeling and optimization framework. In ICCAD, pages 552-559, 2007.
    • (2007) ICCAD , pp. 552-559
    • Kim, B.1    Stojanovic, V.2
  • 21
    • 27544488669 scopus 로고    scopus 로고
    • Microarchitecture of a high-radix router
    • J. Kim et al. Microarchitecture of a high-radix router. In ISCA, pages 420-431, 2005.
    • (2005) ISCA , pp. 420-431
    • Kim, J.1
  • 22
    • 47349129525 scopus 로고    scopus 로고
    • Flattened butterfly topology for on-chip networks
    • J. Kim et al. Flattened butterfly topology for on-chip networks. In MICRO, pages 172-182, 2007.
    • (2007) MICRO , pp. 172-182
    • Kim, J.1
  • 23
    • 84858790896 scopus 로고    scopus 로고
    • Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication
    • T. Krishna, L.-S. Peh, B. M. Beckmann, and S. K. Reinhardt. Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication. In MICRO, pages 71-82, 2011.
    • (2011) MICRO , pp. 71-82
    • Krishna, T.1    Peh, L.-S.2    Beckmann, B.M.3    Reinhardt, S.K.4
  • 24
    • 70049084562 scopus 로고    scopus 로고
    • Express virtual channels with capacitively driven global links
    • T. Krishna et al. Express Virtual Channels with capacitively driven global links. IEEE Micro, 29(4):48-61, 2009.
    • (2009) IEEE Micro , vol.29 , Issue.4 , pp. 48-61
    • Krishna, T.1
  • 25
    • 78650730068 scopus 로고    scopus 로고
    • SWIFT: A SWing-reduced Interconnect for a Token-based network-on-chip in 90nm CMOS
    • T. Krishna et al. SWIFT: A SWing-reduced Interconnect For a Token-based network-on-chip in 90nm CMOS. In ICCD, pages 439-446, 2010.
    • (2010) ICCD , pp. 439-446
    • Krishna, T.1
  • 26
    • 52949114554 scopus 로고    scopus 로고
    • A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS
    • A. Kumar et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. In ICCD, pages 63-70, 2007.
    • (2007) ICCD , pp. 63-70
    • Kumar, A.1
  • 27
    • 66749104350 scopus 로고    scopus 로고
    • Token flow control
    • A. Kumar et al. Token Flow Control. In MICRO, 2008.
    • (2008) MICRO
    • Kumar, A.1
  • 28
    • 78149271070 scopus 로고    scopus 로고
    • ATAC: A 1000-core cache-coherent processor with on-chip optical network
    • G. Kurian et al. ATAC: A 1000-core cache-coherent processor with on-chip optical network. In PACT, pages 477-488, 2010.
    • (2010) PACT , pp. 477-488
    • Kurian, G.1
  • 30
    • 64949183988 scopus 로고    scopus 로고
    • Prediction router: Yet another low latency on-chip router architecture
    • H. Matsutani et al. Prediction router: Yet another low latency on-chip router architecture. In MICRO, pages 367-378, 2009.
    • (2009) MICRO , pp. 367-378
    • Matsutani, H.1
  • 31
    • 4644301652 scopus 로고    scopus 로고
    • Low-latency virtual-channel routers for on-chip networks
    • R. Mullins et al. Low-latency virtual-channel routers for on-chip networks. In ISCA, pages 188-197, 2004.
    • (2004) ISCA , pp. 188-197
    • Mullins, R.1
  • 32
    • 84863551686 scopus 로고    scopus 로고
    • Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm SOI
    • S. Park et al. Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm SOI. In DAC, pages 398-405, 2012.
    • (2012) DAC , pp. 398-405
    • Park, S.1
  • 34
    • 33845900177 scopus 로고    scopus 로고
    • The BlackWidow high-radix clos network
    • S. Scott et al. The BlackWidow high-radix clos network. In ISCA, pages 16-28, 2006.
    • (2006) ISCA , pp. 16-28
    • Scott, S.1
  • 35
    • 84862740379 scopus 로고    scopus 로고
    • DSENT-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling
    • C. Sun et al. DSENT-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In NOCS, pages 201-210, 2012.
    • (2012) NOCS , pp. 201-210
    • Sun, C.1
  • 36
    • 36849030305 scopus 로고    scopus 로고
    • On-chip interconnection architecture of the tile processor
    • Sept
    • D. Wentzlaff et al. On-chip interconnection architecture of the Tile Processor. IEEE Micro, 27(5):15-31, Sept. 2007.
    • (2007) IEEE Micro , vol.27 , Issue.5 , pp. 15-31
    • Wentzlaff, D.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.