-
1
-
-
74049087888
-
Future scaling of processor-memory interfaces
-
New York, NY, USA, ACM
-
J. H. Ahn, N. P. Jouppi, C. Kozyrakis, J. Leverich, and R. S. Schreiber. Future scaling of processor-memory interfaces. In Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis, SC '09, pages 42:1-42:12, New York, NY, USA, 2009. ACM.
-
(2009)
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis, SC '09
-
-
Ahn, J.H.1
Jouppi, N.P.2
Kozyrakis, C.3
Leverich, J.4
Schreiber, R.S.5
-
2
-
-
77957944948
-
Rank-aware cache replacement and write buffering to improve dram energy efficiency
-
New York, NY, USA, ACM
-
A. M. Amin and Z. A. Chishti. Rank-aware cache replacement and write buffering to improve dram energy efficiency. In Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, ISLPED '10, pages 383-388, New York, NY, USA, 2010. ACM.
-
(2010)
Proceedings of the 16th ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED '10
, pp. 383-388
-
-
Amin, A.M.1
Chishti, Z.A.2
-
4
-
-
77952573046
-
Delay-hiding energy management mechanisms for dram
-
M. Bi, R. Duan, and C. Gniady. Delay-hiding energy management mechanisms for dram. In HPCA'10, pages 1-10, 2010.
-
(2010)
HPCA'10
, pp. 1-10
-
-
Bi, M.1
Duan, R.2
Gniady, C.3
-
5
-
-
77954599847
-
Fine-grained activation for power reduction in dram
-
May
-
E. Cooper-Balis and B. Jacob. Fine-grained activation for power reduction in dram. IEEE Micro, 30:34-47, May 2010.
-
(2010)
IEEE Micro
, vol.30
, pp. 34-47
-
-
Cooper-Balis, E.1
Jacob, B.2
-
6
-
-
79960158244
-
Memory power management via dynamic voltage/frequency scaling
-
New York, NY, USA, ACM
-
H. David, C. Fallin, E. Gorbatov, U. R. Hanebutte, and O. Mutlu. Memory power management via dynamic voltage/frequency scaling. In Proceedings of the 8th ACM international conference on Autonomic computing, ICAC '11, pages 31-40, New York, NY, USA, 2011. ACM.
-
(2011)
Proceedings of the 8th ACM International Conference on Autonomic Computing, ICAC '11
, pp. 31-40
-
-
David, H.1
Fallin, C.2
Gorbatov, E.3
Hanebutte, U.R.4
Mutlu, O.5
-
7
-
-
0034592555
-
Energy-oriented compiler optimizations for partitioned memory architectures
-
New York, NY, USA, ACM
-
V. Delaluz, M. Kandemir, N. Vijaykrishnan, and M. J. Irwin. Energy-oriented compiler optimizations for partitioned memory architectures. In Proceedings of the 2000 international conference on Compilers, architecture, and synthesis for embedded systems, CASES '00, pages 138-147, New York, NY, USA, 2000. ACM.
-
(2000)
Proceedings of the 2000 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES '00
, pp. 138-147
-
-
Delaluz, V.1
Kandemir, M.2
Vijaykrishnan, N.3
Irwin, M.J.4
-
8
-
-
0034825181
-
Dram energy management using software and hardware directed power mode control
-
Washington, DC, USA, IEEE Computer Society
-
V. Delaluz, M. Kandemir, N. Vijaykrishnan, A. Sivasubramaniam, and M. J. Irwin. Dram energy management using software and hardware directed power mode control. In Proceedings of the 7th International Symposium on High-Performance Computer Architecture, HPCA '01, pages 159-, Washington, DC, USA, 2001. IEEE Computer Society.
-
(2001)
Proceedings of the 7th International Symposium on High-Performance Computer Architecture, HPCA '01
, pp. 159
-
-
Delaluz, V.1
Kandemir, M.2
Vijaykrishnan, N.3
Sivasubramaniam, A.4
Irwin, M.J.5
-
9
-
-
0036049630
-
Scheduler-based dram energy management
-
New York, NY, USA, ACM
-
V. Delaluz, A. Sivasubramaniam, M. Kandemir, N. Vijaykrishnan, and M. J. Irwin. Scheduler-based dram energy management. In Proceedings of the 39th annual Design Automation Conference, DAC '02, pages 697-702, New York, NY, USA, 2002. ACM.
-
(2002)
Proceedings of the 39th Annual Design Automation Conference, DAC '02
, pp. 697-702
-
-
Delaluz, V.1
Sivasubramaniam, A.2
Kandemir, M.3
Vijaykrishnan, N.4
Irwin, M.J.5
-
10
-
-
79953071808
-
Memscale: Active low-power modes for main memory
-
New York, NY, USA, ACM
-
Q. Deng, D. Meisner, L. Ramos, T. F. Wenisch, and R. Bianchini. Memscale: active low-power modes for main memory. In Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems, ASPLOS '11, pages 225-238, New York, NY, USA, 2011. ACM.
-
(2011)
Proceedings of the Sixteenth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS '11
, pp. 225-238
-
-
Deng, Q.1
Meisner, D.2
Ramos, L.3
Wenisch, T.F.4
Bianchini, R.5
-
11
-
-
35348903171
-
Limiting the power consumption of main memory
-
New York, NY, USA, ACM
-
B. Diniz, D. Guedes, W. Meira, Jr., and R. Bianchini. Limiting the power consumption of main memory. In Proceedings of the 34th annual international symposium on Computer architecture, ISCA '07, pages 290-301, New York, NY, USA, 2007. ACM.
-
(2007)
Proceedings of the 34th Annual International Symposium on Computer Architecture, ISCA '07
, pp. 290-301
-
-
Diniz, B.1
Guedes, D.2
Meira Jr., W.3
Bianchini, R.4
-
12
-
-
0034875742
-
Memory controller policies for dram power management
-
New York, NY, USA, ACM
-
X. Fan, C. Ellis, and A. Lebeck. Memory controller policies for dram power management. In Proceedings of the 2001 international symposium on Low power electronics and design, ISLPED '01, pages 129-134, New York, NY, USA, 2001. ACM.
-
(2001)
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, ISLPED '01
, pp. 129-134
-
-
Fan, X.1
Ellis, C.2
Lebeck, A.3
-
13
-
-
84858680699
-
Cache-oblivious query processing
-
B. He and Q. Luo. Cache-oblivious query processing. In CIDR, pages 44-55, 2007.
-
(2007)
CIDR
, pp. 44-55
-
-
He, B.1
Luo, Q.2
-
14
-
-
46649110107
-
Cache-oblivious databases: Limitations and opportunities
-
June
-
B. He and Q. Luo. Cache-oblivious databases: Limitations and opportunities. ACM Trans. Database Syst., 33(2):8:1-8:42, June 2008.
-
(2008)
ACM Trans. Database Syst.
, vol.33
, Issue.2
-
-
He, B.1
Luo, Q.2
-
15
-
-
33750808630
-
Cache-conscious automata for XML filtering
-
DOI 10.1109/TKDE.2006.184, 1717420
-
B. He, Q. Luo, and B. Choi. Cache-conscious automata for xml filtering. IEEE Trans. on Knowl. and Data Eng., 18(12):1629-1644, Dec. 2006. (Pubitemid 44711722)
-
(2006)
IEEE Transactions on Knowledge and Data Engineering
, vol.18
, Issue.12
, pp. 1629-1644
-
-
He, B.1
Luo, Q.2
Choi, B.3
-
17
-
-
0346003039
-
Design and implementation of power-aware virtual memory
-
Berkeley, CA, USA, USENIX Association
-
H. Huang, P. Pillai, and K. G. Shin. Design and implementation of power-aware virtual memory. In Proceedings of the annual conference on USENIX Annual Technical Conference, pages 5-5, Berkeley, CA, USA, 2003. USENIX Association.
-
(2003)
Proceedings of the Annual Conference on USENIX Annual Technical Conference
, pp. 5-5
-
-
Huang, H.1
Pillai, P.2
Shin, K.G.3
-
18
-
-
28444477433
-
Improving energy efficiency by making dram less randomly accessed
-
New York, NY, USA, ACM
-
H. Huang, K. G. Shin, C. Lefurgy, and T. Keller. Improving energy efficiency by making dram less randomly accessed. In Proceedings of the 2005 international symposium on Low power electronics and design, ISLPED '05, pages 393-398, New York, NY, USA, 2005. ACM.
-
(2005)
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, ISLPED '05
, pp. 393-398
-
-
Huang, H.1
Shin, K.G.2
Lefurgy, C.3
Keller, T.4
-
19
-
-
57749175984
-
A comprehensive approach to dram power management
-
I. Hur and C. Lin. A comprehensive approach to dram power management. In HPCA'08, pages 305-316, 2008.
-
(2008)
HPCA'08, 305-316
-
-
Hur, I.1
Lin, C.2
-
20
-
-
77952558442
-
Atlas: A scalable and high-performance scheduling algorithm for multiple memory controllers
-
Y. Kim, D. Han, O. Mutlu, and M. Harchol-Balter. Atlas: A scalable and high-performance scheduling algorithm for multiple memory controllers. In High Performance Computer Architecture (HPCA), 2010 IEEE 16th International Symposium on, pages 1-12, 2010.
-
(2010)
High Performance Computer Architecture (HPCA), 2010 IEEE 16th International Symposium on
, pp. 1-12
-
-
Kim, Y.1
Han, D.2
Mutlu, O.3
Harchol-Balter, M.4
-
21
-
-
80052744960
-
Memory energy management for an enterprise decision support system
-
Piscataway, NJ, USA, IEEE Press
-
K. Kumar, K. Doshi, M. Dimitrov, and Y.-H. Lu. Memory energy management for an enterprise decision support system. In Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, ISLPED '11, pages 277-282, Piscataway, NJ, USA, 2011. IEEE Press.
-
(2011)
Proceedings of the 17th IEEE/ACM International Symposium on Low-power Electronics and Design, ISLPED '11
, pp. 277-282
-
-
Kumar, K.1
Doshi, K.2
Dimitrov, M.3
Lu, Y.-H.4
-
22
-
-
0034442261
-
Power aware page allocation
-
New York, NY, USA, ACM
-
A. R. Lebeck, X. Fan, H. Zeng, and C. Ellis. Power aware page allocation. In Proceedings of the ninth international conference on Architectural support for programming languages and operating systems, ASPLOS-IX, pages 105-116, New York, NY, USA, 2000. ACM.
-
(2000)
Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS-IX
, pp. 105-116
-
-
Lebeck, A.R.1
Fan, X.2
Zeng, H.3
Ellis, C.4
-
23
-
-
0346750534
-
Energy management for commercial servers
-
December
-
C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler, and T. W. Keller. Energy management for commercial servers. Computer, 36:39-48, December 2003.
-
(2003)
Computer
, vol.36
, pp. 39-48
-
-
Lefurgy, C.1
Rajamani, K.2
Rawson, F.3
Felter, W.4
Kistler, M.5
Keller, T.W.6
-
24
-
-
79953075520
-
Flikker: Saving dram refresh-power through critical data partitioning
-
New York, NY, USA, ACM
-
S. Liu, K. Pattabiraman, T. Moscibroda, and B. G. Zorn. Flikker: saving dram refresh-power through critical data partitioning. In Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems, ASPLOS '11, pages 213-224, New York, NY, USA, 2011. ACM.
-
(2011)
Proceedings of the Sixteenth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS '11
, pp. 213-224
-
-
Liu, S.1
Pattabiraman, K.2
Moscibroda, T.3
Zorn, B.G.4
-
25
-
-
67650783130
-
Powernap: Eliminating server idle power
-
New York, NY, USA, ACM
-
D. Meisner, B. T. Gold, and T. F. Wenisch. Powernap: eliminating server idle power. In Proceedings of the 14th international conference on Architectural support for programming languages and operating systems, ASPLOS '09, pages 205-216, New York, NY, USA, 2009. ACM.
-
(2009)
Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS '09
, pp. 205-216
-
-
Meisner, D.1
Gold, B.T.2
Wenisch, T.F.3
-
26
-
-
84877699458
-
-
MT41J128M8BY-187E
-
Micron Technology, Inc. MT41J128M8BY-187E. http://download.micron.com/ pdf/datasheets/dram/ddr3/1GbDDR3SDRAM.pdf, 2009.
-
(2009)
-
-
-
27
-
-
84877707118
-
-
MT47H64M16HR-25E. download.micron.com/pdf/datasheets/dram/ddr2/1GbDD
-
Micron Technology, Inc. MT47H64M16HR-25E. download.micron.com/pdf/ datasheets/dram/ddr2/1GbDDR2.pdf, 2010.
-
(2010)
-
-
-
28
-
-
84877687385
-
-
Micron Technology, Inc. System Power Calculator. http://www.micron.com/ support/designsupport/tools/powercalc/powercalc.aspx, 2012.
-
(2012)
System Power Calculator
-
-
-
29
-
-
77954780208
-
The case for ramclouds: Scalable high-performance storage entirely in dram
-
January
-
J. Ousterhout, P. Agrawal, D. Erickson, C. Kozyrakis, J. Leverich, D. Mazières, S. Mitra, A. Narayanan, G. Parulkar, M. Rosenblum, S. M. Rumble, E. Stratmann, and R. Stutsman. The case for ramclouds: scalable high-performance storage entirely in dram. SIGOPS Oper. Syst. Rev., 43:92-105, January 2010.
-
(2010)
SIGOPS Oper. Syst. Rev.
, vol.43
, pp. 92-105
-
-
Ousterhout, J.1
Agrawal, P.2
Erickson, D.3
Kozyrakis, C.4
Leverich, J.5
Mazières, D.6
Mitra, S.7
Narayanan, A.8
Parulkar, G.9
Rosenblum, M.10
Rumble, S.M.11
Stratmann, E.12
Stutsman, R.13
-
30
-
-
33748852203
-
Dma-aware memory energy management
-
V. Pandey, W. Jiang, Y. Zhou, and R. Bianchini. Dma-aware memory energy management. In HPCA'06, pages 133-144, 2006.
-
(2006)
HPCA'06
, pp. 133-144
-
-
Pandey, V.1
Jiang, W.2
Zhou, Y.3
Bianchini, R.4
-
31
-
-
79959583242
-
Page placement in hybrid memory systems
-
New York, NY, USA, ACM
-
L. E. Ramos, E. Gorbatov, and R. Bianchini. Page placement in hybrid memory systems. In Proceedings of the international conference on Supercomputing, ICS '11, pages 85-95, New York, NY, USA, 2011. ACM.
-
(2011)
Proceedings of the International Conference on Supercomputing, ICS '11
, pp. 85-95
-
-
Ramos, L.E.1
Gorbatov, E.2
Bianchini, R.3
-
32
-
-
77952283542
-
Micro-pages: Increasing dram efficiency with locality-aware data placement
-
New York, NY, USA, ACM
-
K. Sudan, N. Chatterjee, D. Nellans, M. Awasthi, R. Balasubramonian, and A. Davis. Micro-pages: increasing dram efficiency with locality-aware data placement. In Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems, ASPLOS '10, pages 219-230, New York, NY, USA, 2010. ACM.
-
(2010)
Proceedings of the Fifteenth Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems, ASPLOS '10
, pp. 219-230
-
-
Sudan, K.1
Chatterjee, N.2
Nellans, D.3
Awasthi, M.4
Balasubramonian, R.5
Davis, A.6
-
33
-
-
77954989143
-
Rethinking dram design and organization for energy-constrained multi-cores
-
ACM
-
A. N. Udipi, N. Muralimanohar, N. Chatterjee, R. Balasubramonian, A. Davis, and N. P. Jouppi. Rethinking dram design and organization for energy-constrained multi-cores. In Proceedings of the 37th annual international symposium on Computer architecture, ISCA '10, pages 175-186. ACM, 2010.
-
(2010)
Proceedings of the 37th Annual International Symposium on Computer Architecture, ISCA '10
, pp. 175-186
-
-
Udipi, A.N.1
Muralimanohar, N.2
Chatterjee, N.3
Balasubramonian, R.4
Davis, A.5
Jouppi, N.P.6
-
34
-
-
77952574782
-
Architecting for power management: The ibm power7 approach
-
M. S. Ware, K. Rajamani, M. S. Floyd, B. Brock, J. C. Rubio, F. L. R. III, and J. B. Carter. Architecting for power management: The ibm power7 approach. In HPCA'10, pages 1-11, 2010.
-
(2010)
HPCA'10
, pp. 1-11
-
-
Ware, M.S.1
Rajamani, K.2
Floyd, M.S.3
Brock, B.4
Rubio, J.C.5
Iii, F.L.R.6
Carter, J.B.7
-
36
-
-
36949014308
-
Ptlsim: A cycle accurate full system x86-64 microarchitectural simulator
-
M. T. Yourst. Ptlsim: A cycle accurate full system x86-64 microarchitectural simulator. In ISPASS, 2007.
-
(2007)
ISPASS
-
-
Yourst, M.T.1
-
37
-
-
66749162556
-
Mini-rank: Adaptive dram architecture for improving memory power efficiency
-
Washington, DC, USA, IEEE Computer Society
-
H. Zheng, J. Lin, Z. Zhang, E. Gorbatov, H. David, and Z. Zhu. Mini-rank: Adaptive dram architecture for improving memory power efficiency. In Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture, MICRO 41, pages 210-221, Washington, DC, USA, 2008. IEEE Computer Society.
-
(2008)
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 41
, pp. 210-221
-
-
Zheng, H.1
Lin, J.2
Zhang, Z.3
Gorbatov, E.4
David, H.5
Zhu, Z.6
-
38
-
-
77954159201
-
Power and performance trade-offs in contemporary dram system designs for multicore processors
-
August
-
H. Zheng and Z. Zhu. Power and performance trade-offs in contemporary dram system designs for multicore processors. IEEE Trans. Comput., 59:1033-1046, August 2010.
-
(2010)
IEEE Trans. Comput.
, vol.59
, pp. 1033-1046
-
-
Zheng, H.1
Zhu, Z.2
-
39
-
-
84893513203
-
The multi-queue replacement algorithm for second level buffer caches
-
Berkeley, CA, USA, USENIX Association
-
Y. Zhou, J. Philbin, and K. Li. The multi-queue replacement algorithm for second level buffer caches. In Proceedings of the General Track: 2002 USENIX Annual Technical Conference, pages 91-104, Berkeley, CA, USA, 2001. USENIX Association.
-
(2001)
Proceedings of the General Track: 2002 USENIX Annual Technical Conference
, pp. 91-104
-
-
Zhou, Y.1
Philbin, J.2
Li, K.3
|