-
1
-
-
57849151143
-
Thermalscope: Multi-scale thermal analysis for nanometer-scale integrated circuits
-
ALLEC, N., HASSAN, Z., SHANG, L., DICK, R. P., AND YANG, R. 2008. Thermalscope: Multi-scale thermal analysis for nanometer-scale integrated circuits. In Proceedings of the ACM/IEEE International Conference on Computer Aided Design (ACM/IEEE ICCAD). 75-82.
-
(2008)
Proceedings of the ACM/IEEE International Conference on Computer Aided Design (ACM/IEEE ICCAD)
, pp. 75-82
-
-
Allec, N.1
Hassan, Z.2
Shang, L.3
Dick, R.P.4
Yang, R.5
-
3
-
-
40349090128
-
Die stacking (3D) microarchitecture
-
DOI 10.1109/MICRO.2006.18, 4041869, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
BLACK, B., ANNAVARAM, M., BREKELBAUM, N., DEVALE, J., JIANG, L., LOH, G. H., MCCAULE, D., MORROW, P., NELSON, D. W., AND PANTUSO, D. 2006. Die stacking (3D) microarchitecture. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. 469-479. (Pubitemid 351337019)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 469-479
-
-
Black, B.1
Annavaram, M.2
Brekelbaum, N.3
Devale, J.4
Lei, J.5
Loh, G.H.6
McCauley, D.7
Morrow, P.8
Nelson, D.W.9
Pantuso, D.10
Reed, P.11
Rupley, J.12
Shankar, S.13
John, S.14
Webb, C.15
-
5
-
-
0031097278
-
Rotation scheduling: A loop pipelining algorithm
-
PII S0278007097047404
-
CHAO, L.-F., LAPAUGH, A., AND SHA, E. H.-M. 1997. Rotation scheduling: A loop pipelining algorithm. IEEE Trans. Comput. Aided Design Integ. Circ. Syst. 16, 3 (Mar.), 229-239. (Pubitemid 127767669)
-
(1997)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.16
, Issue.3
, pp. 229-239
-
-
Chao, L.-F.1
Lapaugh, A.S.2
Sha, E.H.-M.3
-
6
-
-
70449729945
-
Dynamic thermal management using thin-film thermoelectric cooling
-
ACM
-
CHAPARRO, P., GONŹALEZ, J., CAI, Q., AND CHRYSLER, G. 2009. Dynamic thermal management using thin-film thermoelectric cooling. In Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 111-116.
-
(2009)
Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09)
, pp. 111-116
-
-
Chaparro, P.1
Gonźalez, J.2
Cai, Q.3
Chrysler, G.4
-
8
-
-
70350055176
-
Dynamic thermal management in 3D multicore architectures
-
COSKUN, A. K., AYALA, J. L., ATIENZA, D., ROSING, T. S., AND LEBLEBICI, Y. 2009. Dynamic thermal management in 3D multicore architectures. In Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE). 1410-1415.
-
(2009)
Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE)
, pp. 1410-1415
-
-
Coskun, A.K.1
Ayala, J.L.2
Atienza, D.3
Rosing, T.S.4
Leblebici, Y.5
-
10
-
-
0000769475
-
Heuristic algorithms for scheduling independent tasks on nonidentical processors
-
IBARRA, O. H. AND KIM, C. E. 1977. Heuristic algorithms for scheduling independent tasks on nonidentical processors. J. ACM 24, 2, 280-289.
-
(1977)
J. ACM
, vol.24
, Issue.2
, pp. 280-289
-
-
Ibarra, O.H.1
Kim, C.E.2
-
12
-
-
0032639289
-
The Alpha 21264 microprocessor
-
KESSLER, R. E. 1999. The Alpha 21264 microprocessor. IEEE Micro 19, 2, 24-36.
-
(1999)
IEEE Micro
, vol.19
, Issue.2
, pp. 24-36
-
-
Kessler, R.E.1
-
13
-
-
70449727078
-
PPT: Joint performance/power/thermal management of DRAM memory for multi-core systems
-
ACM
-
LIN, C., YANG, C., AND KING, K. 2009. PPT: joint performance/power/ thermal management of DRAM memory for multi-core systems. In Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 93-98.
-
(2009)
Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09)
, pp. 93-98
-
-
Lin, C.1
Yang, C.2
King, K.3
-
15
-
-
77952637166
-
Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor
-
LIU, S., ZHANG, J., WU, Q., AND QIU, Q. 2010. Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor. In Proceedings of the IEEE International Symposium on Quality Electronic Design. 390-398.
-
(2010)
Proceedings of the IEEE International Symposium on Quality Electronic Design
, pp. 390-398
-
-
Liu, S.1
Zhang, J.2
Wu, Q.3
Qiu, Q.4
-
16
-
-
0041571970
-
Compiler-assisted dynamic power-aware scheduling for real-time applications
-
MOSSE, D., AYDIN, H., CHILDERS, B., AND MELHEM, R. 2000. Compiler-assisted dynamic power-aware scheduling for real-time applications. In Proceedings of the Workshop on Compilers and Operating Systems for Low-Power.
-
(2000)
Proceedings of the Workshop on Compilers and Operating Systems for Low-Power
-
-
Mosse, D.1
Aydin, H.2
Childers, B.3
Melhem, R.4
-
17
-
-
49749145589
-
Thermal balancing policy for streaming computing on multiprocessor architectures
-
MULAS, F., PITTAU, M., BUTTU, M., CARTA, S., ACQUAVIVA, A., BENINI, L., AND ATIENZA, D. 2008. Thermal balancing policy for streaming computing on multiprocessor architectures. In Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE). 734-739.
-
(2008)
Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE)
, pp. 734-739
-
-
Mulas, F.1
Pittau, M.2
Buttu, M.3
Carta, S.4
Acquaviva, A.5
Benini, L.6
Atienza, D.7
-
18
-
-
34247252970
-
Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis
-
DOI 10.1145/1165573.1165644, ISLPED'06 - Proceedings of the 2006 International Symposium on Low Power Electronics and Design
-
NOOKALA, V., LILJA, D. J., AND SAPATNEKAR, S. S. 2006. Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis. In ACM/IEEE ISLPED. 298- 303. (Pubitemid 46609753)
-
(2006)
Proceedings of the International Symposium on Low Power Electronics and Design
, vol.2006
, pp. 298-303
-
-
Nookala, V.1
Lilja, D.J.2
Sapatnekar, S.S.3
-
20
-
-
34547673128
-
Thermal herding: Microarchitecture techniques for controlling hotspots in high-performance 3D-integrated processors
-
DOI 10.1109/HPCA.2007.346197, 4147660, 2007 IEEE 13th Annual International Symposium on High Performance Computer Architecture, HPCA-13
-
PUTTASWAMY, K. AND LOH, G. 2007. Thermal herding: microarchitecture techniques for controlling hotspots in high-performance 3d-integrated processors. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). 193-204. (Pubitemid 47208164)
-
(2007)
Proceedings - International Symposium on High-Performance Computer Architecture
, pp. 193-204
-
-
Puttaswamy, K.1
Loh, G.H.2
-
21
-
-
77649188805
-
Dynamic and leakage energy minimization with soft real-time loop scheduling and voltage assignment
-
QIU, M., YANG, L., SHAO, Z., AND SHA, E. H.-M. 2010. Dynamic and leakage energy minimization with soft real-time loop scheduling and voltage assignment. IEEE Trans. TVLSI Syst. 18, 3, 501-504.
-
(2010)
IEEE Trans. TVLSI Syst.
, vol.18
, Issue.3
, pp. 501-504
-
-
Qiu, M.1
Yang, L.2
Shao, Z.3
Sha, E.H.-M.4
-
22
-
-
33947287207
-
Voltage assignment with guaranteed probability satisfying timing constraint for real-time multiproceesor DSP
-
DOI 10.1007/s11265-006-0002-0
-
QIU, M., JIA, Z., XUE, C., SHAO, Z., AND SHA, E. H. M. 2007. Voltage assignment with guaranteed probability satisfying timing constraint for real-time multiproceesor DSP. J. VLSI Sig. Proc. 46, 1, 55-73. (Pubitemid 46433459)
-
(2007)
Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology
, vol.46
, Issue.1
, pp. 55-73
-
-
Qiu, M.1
Jia, Z.2
Xue, C.3
Shao, Z.4
Sha, E.H.-M.5
-
23
-
-
65849231067
-
Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems
-
QIU, M. AND SHA, E. H.-M. 2009. Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems. ACM Trans. Design Automat. Electron. Syst. 14, 2, 1-30.
-
(2009)
ACM Trans. Design Automat. Electron. Syst.
, vol.14
, Issue.2
, pp. 1-30
-
-
Qiu, M.1
Sha, E.H.-M.2
-
24
-
-
70749141579
-
Rotation scheduling and voltage assignment to minimize energy for SoC
-
QIU, M., YANG, L., SHAO, Z., AND SHA, E. H.-M. 2009. Rotation scheduling and voltage assignment to minimize energy for SoC. In Proceedings of the International Conference on Computational Science and Engineering. 48-55.
-
(2009)
Proceedings of the International Conference on Computational Science and Engineering
, pp. 48-55
-
-
Qiu, M.1
Yang, L.2
Shao, Z.3
Sha, E.H.-M.4
-
25
-
-
27444438269
-
A case for thermal-aware floorplanning at the microarchitectural level
-
SANKARANARAYANAN, K., VELUSAMY, S., STAN, M., AND SKADRON, K. 2005. A case for thermal-aware floorplanning at the microarchitectural level. IEEE Trans. Comput. Aided Des. Integ. Circ. Syst. 7, 1-16.
-
(2005)
IEEE Trans. Comput. Aided Des. Integ. Circ. Syst.
, vol.7
, pp. 1-16
-
-
Sankaranarayanan, K.1
Velusamy, S.2
Stan, M.3
Skadron, K.4
-
26
-
-
0035279683
-
Intra-task voltage scheduling for low-energy hard real-time applications
-
DOI 10.1109/54.914596
-
SHIN, D., KIM, J., AND LEE, S. 2001. Intra-task voltage scheduling for low-energy, hard real-time applications. IEEE Des. Test Comput. 18, 2, 20-30. (Pubitemid 32293325)
-
(2001)
IEEE Design and Test of Computers
, vol.18
, Issue.2
, pp. 20-30
-
-
Shin, D.1
Kim, J.2
Lee, S.3
-
28
-
-
33644881932
-
Static allocation of resources to communicating subtasks in a heterogeneous ad hoc grid environment
-
SHIVLE, S., SIEGEL, H. J., MACIEJEWSKI, A. A., ET AL. 2006. Static allocation of resources to communicating subtasks in a heterogeneous ad hoc grid environment. J. Parall. Distrib. Comput. 66, 4, 600-611.
-
(2006)
J. Parall. Distrib. Comput.
, vol.66
, Issue.4
, pp. 600-611
-
-
Shivle, S.1
Siegel, H.J.2
MacIejewski, A.A.3
-
29
-
-
85009352442
-
Temperatureaware microarchitecture: Modeling and implementation
-
Mar.
-
SKADRON, K., STAN, M., SANKARANARAYANAN, K., HUANG, W., VELUSAMY, S., AND TARJAN, D. Mar. 2004. Temperatureaware microarchitecture: Modeling and implementation. ACM Trans. Architect. Code Optim. 1, 1, 94- 125.
-
(2004)
ACM Trans. Architect. Code Optim.
, vol.1
, Issue.1
, pp. 94-125
-
-
Skadron, K.1
Stan, M.2
Sankaranarayanan, K.3
Huang, W.4
Velusamy, S.5
Tarjan, D.6
-
30
-
-
33847699766
-
Cross-layer collaborative In-network processing in multihop wireless sensor networks
-
DOI 10.1109/TMC.2007.39
-
TIAN, Y. AND EKICI, E. 2007. Cross-layer collaborative in-network processing in multihop wireless sensor networks. IEEE Trans. Mob. Comput. 6, 3, 297-310. (Pubitemid 46374543)
-
(2007)
IEEE Transactions on Mobile Computing
, vol.6
, Issue.3
, pp. 297-310
-
-
Tian, Y.1
Ekici, E.2
-
31
-
-
33750320382
-
Energy-constrained task mapping and scheduling in wireless sensor networks
-
DOI 10.1109/MAHSS.2005.1542802, 1542802, 2nd IEEE International Conference on Mobile Ad-hoc and Sensor Systems, MASS 2005
-
TIAN, Y., EKICI, E., AND OZGUNER, F. 2005. Energy-constrained task mapping and scheduling in wireless sensor networks. In Proceedings of the IEEE International Conference on Mobile Adhoc and Sensor Systems Conference. 211-218. (Pubitemid 44612281)
-
(2005)
2nd IEEE International Conference on Mobile Ad-hoc and Sensor Systems, MASS 2005
, vol.2005
, pp. 211-218
-
-
Tian, Y.1
Ekici, E.2
Ozguner, F.3
-
32
-
-
33748533457
-
Three-dimensional integrated circuits
-
DOI 10.1147/rd.504.0491
-
TOPOL, A. W., LA TULIPE JR., D. C., AND SHI, L. 2006. Three-dimensional integrated circuits. IBM J. Res. Development 50, 4/5, 491-506. (Pubitemid 44364166)
-
(2006)
IBM Journal of Research and Development
, vol.50
, Issue.4-5
, pp. 491-506
-
-
Topol, A.W.1
La Tulipe Jr., D.C.2
Shi, L.3
Frank, D.J.4
Bernstein, K.5
Steen, S.E.6
Kumar, A.7
Singco, G.U.8
Young, A.M.9
Guarini, K.W.10
Ieong, M.11
-
33
-
-
85029600625
-
Scheduling for reduced CPU energy
-
WEISER, M., WELCH, B., DEMERS, A., AND SHENKER, S. 1994. Scheduling for reduced CPU energy. In Proceedings of the 1st USENIX Conference on Operating Systems Design and Implementation.
-
(1994)
Proceedings of the 1st USENIX Conference on Operating Systems Design and Implementation
-
-
Weiser, M.1
Welch, B.2
Demers, A.3
Shenker, S.4
-
35
-
-
50249153041
-
3D-STAF: Scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits
-
ZHOU, P., MA, Y., LI, Z., DICK, R. P., SHANG, L., ZHOU, H., HONG, X., AND ZHOU, Q. 2008. 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD). 590-597.
-
(2008)
Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD)
, pp. 590-597
-
-
Zhou, P.1
Ma, Y.2
Li, Z.3
Dick, R.P.4
Shang, L.5
Zhou, H.6
Hong, X.7
Zhou, Q.8
-
36
-
-
72649091934
-
Thermal-aware task scheduling for 3D multicore processors
-
Jan.
-
ZHOU, X., YANG, J., XU, Y., ZHANG, Y., AND ZHAO, J. 2010. Thermal-aware task scheduling for 3D multicore processors. IEEE Trans. Panal. Distr. Syst. 21, 1 (Jan.), 60-70.
-
(2010)
IEEE Trans. Panal. Distr. Syst.
, vol.21
, Issue.1
, pp. 60-70
-
-
Zhou, X.1
Yang, J.2
Xu, Y.3
Zhang, Y.4
Zhao, J.5
-
37
-
-
47849132667
-
Three-dimensional chip-multiprocessor run-time thermal management
-
Aug.
-
ZHU, C., GU, Z., SHANG, L., DICK, R. P., AND JOSEPH, R. 2008. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Trans. Comput. Aided Des. Integ. Circ. Syst. 27, 8 (Aug.), 1479-1492.
-
(2008)
IEEE Trans. Comput. Aided Des. Integ. Circ. Syst.
, vol.27
, Issue.8
, pp. 1479-1492
-
-
Zhu, C.1
Gu, Z.2
Shang, L.3
Dick, R.P.4
Joseph, R.5
|