-
3
-
-
64949140362
-
Dynamic hardware- assisted softwarecontrolled page placement to manage capacity allocation and sharing within large caches
-
Awasthi, M., Sudan, K., Balasubramonian, R., and Carter, J. 2009. Dynamic Hardware- Assisted Softwarecontrolled Page Placement To Manage Capacity Allocation and Sharing Within Large Caches. in Proceedings of the Ieee 15th International Symposium on High Performance Computer Architecture (Hpca'09). 250-261.
-
(2009)
Proceedings of the Ieee 15th International Symposium on High Performance Computer Architecture (Hpca'09).
, pp. 250-261
-
-
Awasthi, M.1
Sudan, K.2
Balasubramonian, R.3
Carter, J.4
-
4
-
-
42149141725
-
Path: Page access tracking to improve memory management
-
Azimi, R., Soares, L., Stumm, M., Walsh, T., and Brown, A. D. 2007. Path: Page Access Tracking To Improve Memory Management. in Proceedings of the 6th International Symposium on Memory Management (Ismm'07). 31-42.
-
(2007)
Proceedings of the 6th International Symposium on Memory Management (Ismm'07).
, pp. 31-42
-
-
Azimi, R.1
Soares, L.2
Stumm, M.3
Walsh, T.4
Brown, A.D.5
-
5
-
-
77952247820
-
Enhancing operating system support for multicore processors by using hardware performance monitoring
-
Azimi, R., Tam, D. K., Soares, L., and Stumm, M. 2009. Enhancing Operating System Support For Multicore Processors By Using Hardware Performance Monitoring. Sigops Oper. Syst. Rev. 43, 2, 56-65.
-
(2009)
Sigops Oper. Syst. Rev.
, vol.43
, Issue.2
, pp. 56-65
-
-
Azimi, R.1
Tam, D.K.2
Soares, L.3
Stumm, M.4
-
6
-
-
0034461413
-
Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures
-
Balasubramonian, R., Albonesi, D., Buyuktosunoglu, A., and Dwarkadas, S. 2000. Memory Hierarchy Reconfiguration For Energy and Performance in General-Purpose Processor Architectures. in Proceedings of the 33Rd Annual Acm/Ieee International Symposium on Microarchitecture (Micro 33). 245-257.
-
(2000)
Proceedings of the 33Rd Annual Acm/Ieee International Symposium on Microarchitecture (Micro 33).
, pp. 245-257
-
-
Balasubramonian, R.1
Albonesi, D.2
Buyuktosunoglu, A.3
Dwarkadas, S.4
-
8
-
-
72249097688
-
The multikernel: A new os architecture for scalable multicore systems
-
Baumann, A., Barham, P., Dagand, P.-E., Harris, T., Isaacs, R., Peter, S., Roscoe, T., Schupbach, A., and Singhania, A. 2009. the Multikernel: A New Os Architecture For Scalable Multicore Systems. in Proceedings of the Acm Sigops 22Nd Symposium on Operating Systems Principles (Sosp'09). 29-44.
-
(2009)
Proceedings of the Acm Sigops 22Nd Symposium on Operating Systems Principles (Sosp'09).
, pp. 29-44
-
-
Baumann, A.1
Barham, P.2
Dagand, P.-E.3
Harris, T.4
Isaacs, R.5
Peter, S.6
Roscoe, T.7
Schupbach, A.8
Singhania, A.9
-
10
-
-
79959902931
-
Synchronization via scheduling: Techniques for efficiently managing shared state in video games
-
Best, M. J.,Mottishaw, S.,Mustard, C., Roth, M., Fedorova, A., and Brownsword, A. 2011. Synchronization Via Scheduling: Techniques For Efficiently Managing Shared State in Video Games. in Proceedings of the Acm Sigplan Conference on Programming Languages Design and Implementation (Pldi'11).
-
(2011)
Proceedings of the Acm Sigplan Conference on Programming Languages Design and Implementation (Pldi'11)
-
-
Best, M.J.1
Mottishaw, S.2
Mustard, C.3
Roth, M.4
Fedorova, A.5
Brownsword, A.6
-
13
-
-
84857842057
-
A case for numa-aware contention management on multicore processors
-
Blagodurov, S., Zhuravlev, S., Dashti, M., and Fedorova, A. 2011. A Case For Numa-Aware Contention Management on Multicore Processors. in Proceedings of the Usenix Annual Technical Conference (Usenix Atc).
-
(2011)
Proceedings of the Usenix Annual Technical Conference (Usenix Atc)
-
-
Blagodurov, S.1
Zhuravlev, S.2
Dashti, M.3
Fedorova, A.4
-
14
-
-
0029191296
-
Cilk: An efficient multithreaded runtime system
-
Blumofe, R. D., Joerg, C. F., Kuszmaul, B. C., Leiserson, C. E., Randall, K. H., and Zhou, Y. 1995. Cilk: An Efficient Multithreaded Runtime System. J. Paral. Distrib.Comput. 207-216.
-
(1995)
J. Paral. Distrib.Comput.
, pp. 207-216
-
-
Blumofe, R.D.1
Joerg, C.F.2
Kuszmaul, B.C.3
Leiserson, C.E.4
Randall, K.H.5
Zhou, Y.6
-
15
-
-
85076887997
-
Corey: An operating system for many cores
-
Boyd-Wickizer, S., Chen, H., Chen, R., Mao, Y., Kaashoek, F., Morris, R., Pesterev, A., Stein, L.,Wu, M., Dai, Y., Zhang, Y., and Zhang, Z. 2008. Corey: An Operating System For Many Cores. in Proceedings of the 8Th Usenix Symposium on Operating Systems Design and Implementation (Osdi '08). 43-57.
-
(2008)
Proceedings of the 8Th Usenix Symposium on Operating Systems Design and Implementation (Osdi '08).
, pp. 43-57
-
-
Boyd-Wickizer, S.1
Chen, H.2
Chen, R.3
Mao, Y.4
Kaashoek, F.5
Morris, R.6
Pesterev, A.7
Stein L.Wu, M.8
Dai, Y.9
Zhang, Y.10
Zhang, Z.11
-
17
-
-
84948974925
-
Compile-time based performance prediction
-
Cascaval, C., Rose, L. D., Padua, D. A., and Reed, D. A. 2000.Compile-Time Based Performance Prediction. in Proceedings of the 12th International Workshop on Languages and Compilers For Parallel Computing (Lpcp99). 365-379.
-
(2000)
Proceedings of the 12th International Workshop on Languages and Compilers For Parallel Computing (Lpcp99).
, pp. 365-379
-
-
Cascaval, C.1
Rose, L.D.2
Padua, D.A.3
Reed, D.A.4
-
18
-
-
21244474546
-
Predicting inter-thread cache contention on a chip multi-processor architecture
-
Chandra, D., Guo, F., Kim, S., and Solihin, Y. 2005. Predicting Inter-Thread Cache Contention on A Chip Multi-Processor Architecture. in Proceedings of the 11Th International Symposium on High-Performance Computer Architecture (Hpca'05). 340-351.
-
(2005)
Proceedings of the 11Th International Symposium on High-Performance Computer Architecture (Hpca'05).
, pp. 340-351
-
-
Chandra, D.1
Guo, F.2
Kim, S.3
Solihin, Y.4
-
19
-
-
84871191080
-
Parallel programming in openmp
-
Chandra, R., Dagum, L., Kohr, D.,Maydan, D.,Mcdonald, J., and Menon, R. 2001. Parallel Programming in Openmp. in Proceedings of Europar'09.
-
(2001)
Proceedings of Europar'09
-
-
Chandra, R.1
Dagum, L.2
Kohr, D.3
Maydan D.Mcdonald, J.4
Menon, R.5
-
22
-
-
78149252729
-
Tiled mapreduce: Optimizing resource usages of data-parallel applications on multicore with tiling
-
Chen, R., Chen, H., and Zang, B. 2010. Tiled Mapreduce: Optimizing Resource Usages of Data-Parallel Applications on Multicore With Tiling. in Proceedings of the 19Th International Conference on Parallel Architectures and Compilation Techniques (Pact 2010). Vienna, Austria.
-
(2010)
Proceedings of the 19Th International Conference on Parallel Architectures and Compilation Techniques (Pact 2010). Vienna, Austria
-
-
Chen, R.1
Chen, H.2
Zang, B.3
-
24
-
-
27544432313
-
Optimizing replication, communication, and capacity allocation in cmps
-
Chishti, Z., Powell, M. D., and Vijaykumar, T. N. 2005. Optimizing Replication, Communication, and Capacity Allocation in Cmps. in Proceedings of the 32Nd Annual International Symposium on Computer Architecture (Icsa'05). 357-368.
-
(2005)
Proceedings of the 32Nd Annual International Symposium on Computer Architecture (Icsa'05).
, pp. 357-368
-
-
Chishti, Z.1
Powell, M.D.2
Vijaykumar, T.N.3
-
26
-
-
84919435884
-
Resource management in the tessellation manycore os
-
Colmenares, J. A., Bird, S.,Cook, H., Pearce, P., Zhu, D., Shalf, J.,Hofmeyr, S.,Asanovic', K., and Kubiatowicz, J. 2010. Resource Management in the Tessellation Manycore Os. in Poster Session At 2Nd Usenix Workshop on Hot Topics in Parallelism.
-
(2010)
Poster Session At 2Nd Usenix Workshop on Hot Topics in Parallelism
-
-
Colmenares, J.A.1
Bird, S.2
Cook, H.3
Pearce, P.4
Zhu, D.5
Shalf, J.6
Hofmeyr, S.7
Asanovic, K.8
Kubiatowicz, J.9
-
27
-
-
0036049630
-
Scheduler-based dram energy management
-
Delaluz, V., Sivasubramaniam, A., Kandemir, M., Vijaykrishnan, N., and Irwin, M. J. 2002. Scheduler-Based Dram Energy Management. in Proceedings of the 39Th Annual Design Automation Conference (Dac'02). 697-702.
-
(2002)
Proceedings of the 39Th Annual Design Automation Conference (Dac'02).
, pp. 697-702
-
-
Delaluz, V.1
Sivasubramaniam, A.2
Kandemir, M.3
Vijaykrishnan, N.4
Irwin, M.5
-
28
-
-
79955715200
-
The working set model for program behavior
-
Denning, P. J. 1968. the Working Set Model For Program Behavior.Commun. Acm 11, 323-333.
-
(1968)
Commun. Acm
, vol.11
, pp. 323-333
-
-
Denning, P.J.1
-
31
-
-
34548329435
-
A one-shot configurable-cache tuner for improved energy and performance
-
Gordon-Ross, A., Viana, P., Vahid, F., Najjar, W., and Barros, E. 2007. A one-Shot Configurable-Cache Tuner For Improved Energy and Performance. in Proceedings of the Conference on Design, Automation and Test in Europe (Date'07). 755-760.
-
(2007)
Proceedings of the Conference on Design, Automation and Test in Europe (Date'07).
, pp. 755-760
-
-
Gordon-Ross, A.1
Viana, P.2
Vahid, F.3
Najjar, W.4
Barros, E.5
-
32
-
-
47349094155
-
From chaos to qos: Case studies in cmp resource management
-
Guo, F., Kannan, H., Zhao, L., Illikkal, R., Iyer, R., Newell, D., Solihin, Y., and Kozyrakis, C. 2007. From Chaos To Qos: Case Studies in Cmp Resource Management. Sigarch Comput. Archit. News 35, 1, 21-30.
-
(2007)
Sigarch Comput. Archit. News
, vol.35
, Issue.1
, pp. 21-30
-
-
Guo, F.1
Kannan, H.2
Zhao, L.3
Illikkal, R.4
Iyer, R.5
Newell, D.6
Solihin, Y.7
Kozyrakis, C.8
-
34
-
-
70350601187
-
Reactive nuca: Near-optimal block placement and replication in distributed caches
-
Hardavellas, N., Ferdman, M., Falsafi, B., and Ailamaki, A. 2009. Reactive Nuca: Near-Optimal Block Placement and Replication in Distributed Caches. in Proceedings of the 36th Annual International Symposium on Computer Architecture (Isca'09). 184-195.
-
(2009)
Proceedings of the 36th Annual International Symposium on Computer Architecture (Isca'09).
, pp. 184-195
-
-
Hardavellas, N.1
Ferdman, M.2
Falsafi, B.3
Ailamaki, A.4
-
36
-
-
34548329985
-
Microarchitecture-independent workload characterization
-
Hoste, K. and Eeckhout, L. 2007. Microarchitecture-Independent Workload Characterization. Ieee Micro 27, 3, 63-72.
-
(2007)
IEEE Micro
, vol.27
, Issue.3
, pp. 63-72
-
-
Hoste, K.1
Eeckhout, L.2
-
37
-
-
34247143442
-
Communist, utilitarian, and capitalist cache policies on cmps: Caches as a shared resource
-
Hsu, L. R., Reinhardt, S. K., Iyer, R., and Makineni, S. 2006.Communist, Utilitarian, and Capitalist Cache Policies on Cmps: Caches As A Shared Resource. in Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (Pact'06). 13-22.
-
(2006)
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (Pact'06).
, pp. 13-22
-
-
Hsu, L.R.1
Reinhardt, S.K.2
Iyer, R.3
Makineni, S.4
-
39
-
-
52649148744
-
Self-optimizing memory con- trollers: A reinforcement learning approach
-
Ipek, E.,Mutlu, O.,Mart'inez, J. F., and Caruana, R. 2008. Self-Optimizing Memory Con- Trollers: A Reinforcement Learning Approach. in Proceedings of the 35Th Annual International Symposium on Computer Architecture (Isca'08). 39-50.
-
(2008)
Proceedings of the 35Th Annual International Symposium on Computer Architecture (Isca'08).
, pp. 39-50
-
-
Ipek, E.1
Mutlu, O.2
Mart'inez, J.F.3
Caruana, R.4
-
40
-
-
34548041192
-
Dryad: Distributed data- parallel programs from sequential building blocks
-
Isard, M., Budiu, M., Yu, Y., Birrell, A., and Fetterly, D. 2007. Dryad: Distributed Data- Parallel Programs From Sequential Building Blocks. in Proceedings of the 2Nd Acm Sigops/Eurosys European Conference on Computer Systems (Eurosys'07). 59-72.
-
(2007)
Proceedings of the 2Nd Acm Sigops/Eurosys European Conference on Computer Systems (Eurosys'07).
, pp. 59-72
-
-
Isard, M.1
Budiu, M.2
Yu, Y.3
Birrell, A.4
Fetterly, D.5
-
42
-
-
36349002905
-
Qos policies and architecture for cachememory in cmp platforms
-
Iyer, R., Zhao, L., Guo, F., Illikkal, R.,Makineni, S., Newell, D., Solihin, Y., Hsu, L., and Reinhardt, S. 2007. Qos Policies and Architecture For Cache/Memory in Cmp Platforms. in Proceedings of the 2007 Acm Sigmetrics International Conference on Measurement and Modeling of Computer Systems. 25-36.
-
(2007)
Proceedings of the 2007 Acm Sigmetrics International Conference on Measurement and Modeling of Computer Systems.
, pp. 25-36
-
-
Iyer, R.1
Zhao, L.2
Guo, F.3
Illikkal, R.4
Makineni, S.5
Newell, D.6
Solihin, Y.7
Hsu, L.8
Reinhardt, S.9
-
43
-
-
63549149925
-
Adaptive insertion policies for managing shared caches
-
Jaleel, A., Hasenplaugh, W., Qureshi, M., Sebot, J., Steely, Jr., S., and Emer, J. 2008. Adaptive Insertion Policies For Managing Shared Caches. in Proceedings of the 17Th International Conference on Parallel Architectures and Compilation Techniques (Pact'08). 208-219.
-
(2008)
Proceedings of the 17Th International Conference on Parallel Architectures and Compilation Techniques (Pact'08).
, pp. 208-219
-
-
Jaleel, A.1
Hasenplaugh, W.2
Qureshi, M.3
Sebot, J.4
Steely Jr., S.5
Emer, J.6
-
44
-
-
79955903988
-
Access: Smart scheduling for asymmetric cache cmps
-
Jiang, X., Mishra, A. K., Zhao, L., Iyer, R., Fang, Z., Srinivasan, S.,Makineni, S., Brett, P., and Das, C. R. 2011. Access: Smart Scheduling For Asymmetric Cache Cmps. in Proceedings of the Ieee 17Th International Symposium on High-Performance Computer Architecture (Hpca'11). 527-538.
-
(2011)
Proceedings of the Ieee 17Th International Symposium on High-Performance Computer Architecture (Hpca'11).
, pp. 527-538
-
-
Jiang, X.1
Mishra, A.K.2
Zhao, L.3
Iyer, R.4
Fang, Z.5
Srinivasan, S.6
Makineni, S.7
Brett, P.8
Das, C.R.9
-
45
-
-
63549085110
-
Analysis and approximation of optimal co-scheduling on chip multiprocessors
-
Jiang, Y., Shen, X., Chen, J., and Tripathi, R. 2008. Analysis and Approximation of Optimal Co-Scheduling on Chip Multiprocessors. in Proceedings of the 17Th International Conference on Parallel Architectures and Compilation Techniques (Pact'08). 220-229.
-
(2008)
Proceedings of the 17Th International Conference on Parallel Architectures and Compilation Techniques (Pact'08).
, pp. 220-229
-
-
Jiang, Y.1
Shen, X.2
Chen, J.3
Tripathi, R.4
-
46
-
-
84867048415
-
-
M.S. Dissertation, Simon Fraser University, Burnaby, Bc, Canada
-
Kamali, A. 2010. Sharing Aware Scheduling on Multicore Systems. M.S. Dissertation, Simon Fraser University, Burnaby, Bc, Canada.
-
(2010)
Sharing Aware Scheduling on Multicore Systems
-
-
Kamali, A.1
-
47
-
-
0036949388
-
An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches
-
Kim, C., Burger, D., and Keckler, S. W. 2002. An Adaptive, Non-Uniform Cache Structure For Wire-Delay Dominated on-Chip Caches. in Proceedings of the 10th International Conference on Architectural Support For Programming Languages and Operating Systems (Asplos-X). 211-222.
-
(2002)
Proceedings of the 10th International Conference on Architectural Support For Programming Languages and Operating Systems (Asplos-X).
, pp. 211-222
-
-
Kim, C.1
Burger, D.2
Keckler, S.W.3
-
49
-
-
77952558442
-
Atlas: A scalable and high- performance scheduling algorithm for multiple memory controllers
-
Kim, Y., Han, D., Mutlu, O., and Harchol-Balter, M. 2010. Atlas: A Scalable and High- Performance Scheduling Algorithm For Multiple Memory Controllers. in Proceedings of the Ieee 16th International Symposium on High Performance Computer Architecture (Hpca'10). 1-12.
-
(2010)
Proceedings of the Ieee 16th International Symposium on High Performance Computer Architecture (Hpca'10).
, pp. 1-12
-
-
Kim, Y.1
Han, D.2
Mutlu, O.3
Harchol-Balter, M.4
-
50
-
-
84871252340
-
Processes and resource management in a scalable many-core os
-
Klues, K., Rhoden, B., Waterman, A., Zhu, D., and Brewer, E. 2010. Processes and Resource Management in A Scalable Many-Core Os. in Poster Session At 2Nd Usenix Workshop on Hot Topics in Parallelism.
-
(2010)
Poster Session At 2Nd Usenix Workshop on Hot Topics in Parallelism
-
-
Klues, K.1
Rhoden, B.2
Waterman, A.3
Zhu, D.4
Brewer, E.5
-
51
-
-
47249103334
-
Using os observations to improve performance in multicore systems
-
Knauerhase, R.,Brett, P.,Hohlt, B., Li, T., and Hahn, S. 2008. Using Os Observations To Improve Performance in Multicore Systems. Ieee Micro 28, 3, 54-66.
-
(2008)
IEEE Micro
, vol.28
, Issue.3
, pp. 54-66
-
-
Knauerhase, R.1
Brett, P.2
Hohlt, B.3
Li, T.4
Hahn, S.5
-
53
-
-
49049114570
-
Improving fairness, throughput and energy- efficiency on a chip multiprocessor through dvfs
-
Kondo, M., Sasaki, H., and Nakamura, H. 2007. Improving Fairness, Throughput and Energy- Efficiency on A Chip Multiprocessor Through Dvfs. Sigarch Comput. Archit. News 35, 1, 31-38.
-
(2007)
Sigarch Comput. Archit. News
, vol.35
, Issue.1
, pp. 31-38
-
-
Kondo, M.1
Sasaki, H.2
Nakamura, H.3
-
54
-
-
77954433044
-
A power-aware shared cache mechanism based on locality assessment of memory reference for cmps
-
Kotera, I., Egawa, R., Takizawa, H., and Kobayashi, H. 2007. A Power-Aware Shared Cache Mechanism Based on Locality Assessment of Memory Reference For Cmps. in Proceedings of the 2007 Workshop on Memory Performance (Medea'07). 113-120.
-
(2007)
Proceedings of the 2007 Workshop on Memory Performance (Medea'07).
, pp. 113-120
-
-
Kotera, I.1
Egawa, R.2
Takizawa, H.3
Kobayashi, H.4
-
55
-
-
77954453709
-
Modeling of cache access behavior based on zipf's law
-
Kotera, I., Egawa, R., Takizawa, H., and Kobayashi, H. 2008. Modeling of Cache Access Behavior Based on Zipf's Law. in Proceedings of the 9Th Workshop on Memory Performance (Medea'08). 9-15.
-
(2008)
Proceedings of the 9Th Workshop on Memory Performance (Medea'08).
, pp. 9-15
-
-
Kotera, I.1
Egawa, R.2
Takizawa, H.3
Kobayashi, H.4
-
57
-
-
35448941890
-
Optimistic parallelism requires abstractions
-
Kulkarni, M., Pingali, K.,Walter, B., Ramanarayanan, G., Bala, K., and Chew, L. P. 2007. Optimistic Parallelism Requires Abstractions. in Proceedings of the 2007 Acm Sigplan Conference on Programming Language Design and Implementation (Pldi'07). 211-222.
-
(2007)
Proceedings of the 2007 Acm Sigplan Conference on Programming Language Design and Implementation (Pldi'07).
, pp. 211-222
-
-
Kulkarni, M.1
Pingali K.Walter, B.2
Ramanarayanan, G.3
Bala, K.4
Chew, L.P.5
-
58
-
-
80052546075
-
Fact: A framework for adaptive contentionaware thread migrations
-
Kumar, K., Vengerov, D., Fedorova, A., and Kalogeraki, V. 2011. Fact: A Framework For Adaptive Contentionaware Thread Migrations. in Proceedings of the Acm International Conference on Computing Frontiers (Cf'11).
-
(2011)
Proceedings of the Acm International Conference on Computing Frontiers (Cf'11)
-
-
Kumar, K.1
Vengerov, D.2
Fedorova, A.3
Kalogeraki, V.4
-
59
-
-
77955032509
-
Mcc-db: Minimizing cache conflicts in multi-core processors for databases
-
Lee, R., Ding, X., Chen, F., Lu, Q., and Zhang, X. 2009. Mcc-Db: Minimizing Cache Conflicts in Multi-Core Processors For Databases. Proc. Vldb Endow. 2, 1, 373-384.
-
(2009)
Proc. Vldb Endow.
, vol.2
, Issue.1
, pp. 373-384
-
-
Lee, R.1
Ding, X.2
Chen, F.3
Lu, Q.4
Zhang, X.5
-
61
-
-
56749104535
-
Efficient operating system scheduling for performance-asymmetric multi-core architectures
-
Li, T., Baumberger, D., Koufaty, D. A., and Hahn, S. 2007. Efficient Operating System Scheduling For Performance-Asymmetric Multi-Core Architectures. in Proceedings of the 2007 Acm/Ieee Conference on Supercomputing (Sc '07).
-
(2007)
Proceedings of the 2007 Acm/Ieee Conference on Supercomputing (Sc '07)
-
-
Li, T.1
Baumberger, D.2
Koufaty, D.A.3
Hahn, S.4
-
65
-
-
57749186047
-
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems
-
Lin, J., Lu, Q., Ding, X., Zhang, Z., Zhang, X., and Sadayappan, P. 2008. Gaining Insights Into Multicore Cache Partitioning: Bridging the Gap Between Simulation and Real Systems. in Proceedings of the International Symposium on High-Performance Computer Architecture (Hpca'08). 367-378.
-
(2008)
Proceedings of the International Symposium on High-Performance Computer Architecture (Hpca'08).
, pp. 367-378
-
-
Lin, J.1
Lu, Q.2
Ding, X.3
Zhang, Z.4
Zhang, X.5
Sadayappan, P.6
-
66
-
-
74049158610
-
Enabling software management for multicore caches with a lightweight hardware support
-
Article No. 14
-
Lin, J., Lu, Q., Ding, X., Zhang, Z., Zhang, X., and Sadayappan, P. 2009. Enabling Software Management For Multicore Caches With A Lightweight Hardware Support. in Proceedings of the Conference on High-Performance Computing Networking, Storage and Analysis (Sc'09). Article No. 14.
-
(2009)
Proceedings of the Conference on High-Performance Computing Networking, Storage and Analysis (Sc'09)
-
-
Lin, J.1
Lu, Q.2
Ding, X.3
Zhang, Z.4
Zhang, X.5
Sadayappan, P.6
-
69
-
-
0014701246
-
Evaluation techniques for storage hierarchies
-
Mattson, R. L., Gecsei, J., Slutz, D. R., and Traiger, I. L. 1970. Evaluation Techniques For Storage Hierarchies. Ibm Syst. J. 9, 78-117.
-
(1970)
Ibm Syst. J.
, Issue.9
, pp. 78-117
-
-
Mattson, R.L.1
Gecsei, J.2
Slutz, D.R.3
Traiger, I.L.4
-
72
-
-
70449655189
-
Flexdcp: A qos framework for cmp architectures
-
Moreto, M., Cazorla, F. J., Ramirez, A., Sakellariou, R., and Valero, M. 2009. Flexdcp: A Qos Framework For Cmp Architectures. Sigops Oper. Syst. Rev. 43, 2, 86-96.
-
(2009)
Sigops Oper. Syst. Rev.
, vol.43
, Issue.2
, pp. 86-96
-
-
Moreto, M.1
Cazorla, F.J.2
Ramirez, A.3
Sakellariou, R.4
Valero, M.5
-
76
-
-
34548050337
-
Fair queuing memory systems
-
Nesbit, K. J., Aggarwal, N., Laudon, J., and Smith, J. E. 2006. Fair Queuing Memory Systems. in Proceedings of the 39Th Annual Ieee/Acm International Symposium on Microarchitecture (Micro 39). 208-222.
-
(2006)
Proceedings of the 39Th Annual Ieee/Acm International Symposium on Microarchitecture (Micro 39).
, pp. 208-222
-
-
Nesbit, K.J.1
Aggarwal, N.2
Laudon, J.3
Smith, J.E.4
-
79
-
-
84872908638
-
Design principles for end-to-end multicore schedulers
-
Peter, S., Schupbach, A., Barham, P., Baumann, A., Isaacs, R., Harris, T., and Roscoe, T. 2010. Design Principles For End-To-End Multicore Schedulers. in Proceedings of the 2Nd Usenix Workshop on Hot Topics in Parallelism.
-
(2010)
Proceedings of the 2Nd Usenix Workshop on Hot Topics in Parallelism
-
-
Peter, S.1
Schupbach, A.2
Barham, P.3
Baumann, A.4
Isaacs, R.5
Harris, T.6
Roscoe, T.7
-
80
-
-
33845874613
-
A case for mlp-aware cache replacement
-
Washington, Dc
-
Qureshi, M. K., Lynch, D. N., Mutlu, O., and Patt, Y. N. 2006. A Case For Mlp-Aware Cache Replacement. in Proceedings of the 33Rd Annual International Symposium on Computer Architecture (Isca'06). Ieee Computer Society, Washington, Dc, 167-178.
-
(2006)
Proceedings of the 33Rd Annual International Symposium on Computer Architecture (Isca'06). Ieee Computer Society
, pp. 167-178
-
-
Qureshi, M.K.1
Lynch, D.N.2
Mutlu, O.3
Patt, Y.N.4
-
85
-
-
0033691565
-
Memory access scheduling
-
Rixner, S., Dally, W. J., Kapasi, U. J., Mattson, P., and Owens, J. D. 2000. Memory Access Scheduling. in Proceedings of the 27Th Annual International Symposium on Computer Architecture (Isca'00). 128-138.
-
(2000)
Proceedings of the 27Th Annual International Symposium on Computer Architecture (Isca'00).
, pp. 128-138
-
-
Rixner, S.1
Dally, W.J.2
Kapasi, U.J.3
Mattson, P.4
Owens, J.D.5
-
86
-
-
76749153252
-
Cmp cache performance projection: Accessibility vs. Capacity
-
Shi, X., Su, F., Peir, J.-K., Xia, Y., and Yang, Z. 2007. Cmp Cache Performance Projection: Accessibility Vs. Capacity. Sigarch Comput. Archit. News 35, 1, 13-20.
-
(2007)
Sigarch Comput. Archit. News
, vol.35
, Issue.1
, pp. 13-20
-
-
Shi, X.1
Su, F.2
Peir, J.-K.3
Xia, Y.4
Yang, Z.5
-
88
-
-
66749168716
-
Reducing the harmful effects of last-level cache polluters with an os-level, software-only pollute buffer
-
Soares, L., Tam, D., and Stumm, M. 2008. Reducing the Harmful Effects of Last-Level Cache Polluters With An Os-Level, Software-only Pollute Buffer. in Proceedings of the 41St Annual Ieee/Acm International Symposium on Microarchitecture (Micro 41). 258-269.
-
(2008)
Proceedings of the 41St Annual Ieee/Acm International Symposium on Microarchitecture (Micro 41).
, pp. 258-269
-
-
Soares, L.1
Tam, D.2
Stumm, M.3
-
89
-
-
74049129459
-
A case for integrated processorcache partitioning in chip multiprocessors
-
Article No. 6
-
Srikantaiah, S., Das, R., Mishra, A. K., Das, C. R., and Kandemir, M. 2009. A Case For Integrated Processorcache Partitioning in Chip Multiprocessors. in Proceedings of the Conference on High-Performance Computing Networking, Storage and Analysis (Sc'09). Article No. 6.
-
(2009)
Proceedings of the Conference on High-Performance Computing Networking, Storage and Analysis (Sc'09)
-
-
Srikantaiah, S.1
Das, R.2
Mishra, A.K.3
Das, C.R.4
Kandemir, M.5
-
91
-
-
0026925878
-
Optimal partitioning of cache memory
-
Stone, H. S., Turek, J., and Wolf, J. L. 1992. Optimal Partitioning of Cache Memory. Ieee Trans.Comput. 41, 9, 1054-1068.
-
(1992)
IEEE Trans.Comput.
, vol.41
, Issue.9
, pp. 1054-1068
-
-
Stone, H.S.1
Turek, J.2
Wolf, J.L.3
-
93
-
-
1642371317
-
Dynamic partitioning of shared cache memory
-
Suh, G. E.,Rudolph, L., and Devadas, S. 2004. Dynamic Partitioning of Shared Cache Memory. J. Supercomput. 28, 1, 7-26.
-
(2004)
J. Supercomput.
, vol.28
, Issue.1
, pp. 7-26
-
-
Suh G.E.Rudolph, L.1
Devadas, S.2
-
95
-
-
67650796123
-
Rapidmrc: Approximating l2 miss rate curves on commodity systems for online optimizations
-
Tam, D. K., Azimi, R., Soares, L. B., and Stumm, M. 2009. Rapidmrc: Approximating L2 Miss Rate Curves on Commodity Systems For online Optimizations. in Proceeding of the 14Th International Conference on Architectural Support For Programming Languages and Operating Systems (Asplos'09). 121-132.
-
(2009)
Proceeding of the 14Th International Conference on Architectural Support For Programming Languages and Operating Systems (Asplos'09).
, pp. 121-132
-
-
Tam, D.K.1
Azimi, R.2
Soares, L.B.3
Stumm, M.4
-
98
-
-
56749167850
-
A table-based method for single-pass cache optimization
-
Viana, P., Gordon-Ross, A., Barros, E., and Vahid, F. 2008. A Table-Based Method For Single-Pass Cache Optimization. in Proceedings of the 18Th Acm Great Lakes Symposium on Vlsi (Glsvlsi'08). 71-76.
-
(2008)
Proceedings of the 18Th Acm Great Lakes Symposium on Vlsi (Glsvlsi'08).
, pp. 71-76
-
-
Viana, P.1
Gordon-Ross, A.2
Barros, E.3
Vahid, F.4
-
105
-
-
47249123399
-
Cachescouts: Fine-grain monitoring of shared caches in cmp platforms
-
Zhao, L., Iyer, R., Illikkal, R., Moses, J., Makineni, S., and Newell, D. 2007. Cachescouts: Fine-Grain Monitoring of Shared Caches in Cmp Platforms. in Proceedings of the 16th International Conference on Parallel Architecture and Compilation Techniques (Pact'07). 339-352.
-
(2007)
Proceedings of the 16th International Conference on Parallel Architecture and Compilation Techniques (Pact'07).
, pp. 339-352
-
-
Zhao, L.1
Iyer, R.2
Illikkal, R.3
Moses, J.4
Makineni, S.5
Newell, D.6
-
106
-
-
70349743894
-
Program locality analysis using reuse distance
-
Zhong, Y., Shen, X., and Ding, C. 2009. Program Locality Analysis Using Reuse Distance. Acm Trans. Program. Lang. Syst. 31, 6, 1-39.
-
(2009)
Acm Trans. Program. Lang. Syst.
, vol.31
, Issue.6
, pp. 1-39
-
-
Zhong, Y.1
Shen, X.2
Ding, C.3
-
107
-
-
12844271066
-
Dynamic tracking of page miss ratio curve for memory management
-
Zhou, P., Pandey, V., Sundaresan, J., Raghuraman, A., Zhou, Y., and Kumar, S. 2004. Dynamic Tracking of Page Miss Ratio Curve For Memory Management. in Proceedings of the 11Th International Conference on Architectural Support For Programming Languages and Operating Systems (Asplos Xi). 177-188.
-
(2004)
Proceedings of the 11Th International Conference on Architectural Support For Programming Languages and Operating Systems (Asplos Xi).
, pp. 177-188
-
-
Zhou, P.1
Pandey, V.2
Sundaresan, J.3
Raghuraman, A.4
Zhou, Y.5
Kumar, S.6
|