-
1
-
-
84886448151
-
Full copper wiring in a Sub-0.25 ?m CMOS ULSI technology
-
D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T. McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz, L. Su, S. Luce, and J. Slattery, "Full copper wiring in a Sub-0.25 ?m CMOS ULSI technology," in IEDM Tech. Dig., 1997, pp. 773-776.
-
(1997)
IEDM Tech. Dig.
, pp. 773-776
-
-
Edelstein, D.1
Heidenreich, J.2
Goldblatt, R.3
Cote, W.4
Uzoh, C.5
Lustig, N.6
Roper, P.7
McDevitt, T.8
Motsiff, W.9
Simon, A.10
Dukovic, J.11
Wachnik, R.12
Rathore, H.13
Schulz, R.14
Su, L.15
Luce, S.16
Slattery, J.17
-
2
-
-
33847693798
-
90 nm generation, 300 waferlow k ILD/Cu interconnect technology
-
C. H. Jan, I. Bielefeld,M. Buehler, V. Chikamane, K. Fischer, T. Hephurn, A. Jain, J. Jeong, T. Kielty, S. Kook, T. Marieb, B. Miner, P. Nguyen, A. Schmitz, M. Nashner, T. Scherban, B. Schroeder, P. H. Wang, R. Wu, J. Xu, K. Zawadzki, S. Thompson, andM. Bohr, "90 nm generation, 300 wafer low k ILD/Cu interconnect technology," in Proc. Int. Interconnect Technol. Conf., 2003, pp. 15-17.
-
(2003)
Proc. Int. Interconnect Technol. Conf.
, pp. 15-17
-
-
Jan, C.H.1
Bielefeld, M.2
Buehler, I.3
Chikamane, V.4
Fischer, K.5
Hephurn, T.6
Jain, A.7
Jeong, J.8
Kielty, T.9
Kook, S.10
Marieb, T.11
Miner, B.12
Nguyen, P.13
Schmitz, A.14
Nashner, M.15
Scherban, T.16
Schroeder, B.17
Wang, P.H.18
Wu, R.19
Xu, J.20
Zawadzki, K.21
Thompson, S.22
Bohr, M.23
more..
-
3
-
-
46049116906
-
A 45 nm CMOS node Cu/Low-k/ultra low-k PECVD SiCOH (k = 2.4) BEOL technology
-
S. Sankaran, S. Arai, R. Augur, M. Beck, G. Biery, T. Bolom, G. Bonilla, O. Bravo, K. Chanda, M. Chae, F. Chen, L. Clevenger, S. Cohen, A. Cowley, P. Davis, J. Demarest, J. Doyle, C. Dimitrakopoulos, L. Economikos, D. Edelstein, M. Farooq, R. Filippi, J. Fitzsimmons, N. Fuller, S. M. Gates, S. E. Greco, A. Grill, S. Grunow, R. Hannon, K. Ida, D. Jung, E. Kaltalioglu, M. Kelling, T. Ko, K. Kumar, C. Labelle, H. Landis, M. W. Lane, W. Landers, M. Lee, W. Li, E. Liniger, X. Liu, J. R. Lloyd, W. Liu, N. Lustig, K. Malone, S. Marokkey, G. Matusiewicz, P. S. McLaughlin, P. V. McLaughlin, S. Mehta, I. Melville, K. Miyata, B. Moon, S. Nitta, D. Nguyen, L. Nicholson, D. Nielsen, P. Ong, K. Patel, V. Patel,W. Park, J. Pellerin, S. Ponoth, K. Petrarca, D. Rath, D. Restaino, S. Rhee, E. T. Ryan, H. Shoba, A. Simon, E. Simonyi, T. M. Shaw, T. Spooner, T. Standaert, J. Sucharitaves, C. Tian, H. Wendt, J. Werking, J. Widodo, R. Wisnieff, L. Wiggins, and T. Ivers, "A 45 nm CMOS node Cu/Low-k/ultra low-k PECVD SiCOH (k = 2.4) BEOL technology," in IEDM Tech. Dig., 2006, pp. 1-4.
-
(2006)
IEDM Tech. Dig.
, pp. 1-4
-
-
Sankaran, S.1
Arai, S.2
Augur, R.3
Beck, M.4
Biery, G.5
Bolom, T.6
Bonilla, G.7
Bravo, O.8
Chanda, K.9
Chae, M.10
Chen, F.11
Clevenger, L.12
Cohen, S.13
Cowley, A.14
Davis, P.15
Demarest, J.16
Doyle, J.17
Dimitrakopoulos, C.18
Economikos, L.19
Edelstein, D.20
Farooq, M.21
Filippi, R.22
Fitzsimmons, J.23
Fuller, N.24
Gates, S.M.25
Greco, S.E.26
Grill, A.27
Grunow, S.28
Hannon, R.29
Ida, K.30
Jung, D.31
Kaltalioglu, E.32
Kelling, M.33
Ko, T.34
Kumar, K.35
Labelle, C.36
Landis, H.37
Lane, M.W.38
Landers, W.39
Lee, M.40
Li, W.41
Liniger, E.42
Liu, X.43
Lloyd, J.R.44
Liu, W.45
Lustig, N.46
Malone, K.47
Marokkey, S.48
Matusiewicz, G.49
McLaughlin, P.S.50
McLaughlin, P.V.51
Mehta, I.52
Melville, S.53
Miyata, K.54
Moon, B.55
Nitta, S.56
Nguyen, D.57
Nicholson, L.58
Nielsen, D.59
Ong, P.60
Patel, K.61
Patelw. Park, V.62
Pellerin, J.63
Ponoth, S.64
Petrarca, K.65
Rath, D.66
Restaino, D.67
Rhee, S.68
Ryan, E.T.69
Shoba, H.70
Simon, A.71
Simonyi, E.72
Shaw, T.M.73
Spooner, T.74
Standaert, T.75
Sucharitaves, J.76
Tian, C.77
Wendt, H.78
Werking, J.79
Widodo, J.80
Wisnieff, R.81
Wiggins, L.82
Ivers, T.83
more..
-
4
-
-
46049096986
-
High performance 45-nm SOI technology with enhanced strain, porous low-k BEOL, and immersion lithography
-
S. Narasimha, K. Onishi, H. M. Nayfeh, A. Waite, M. Weybright, J. Johnson, C. Fonseca, D. Corliss, C. Robinson, M. Crouse, D. Yang, C.-H. J. Wu, A. Gabor, T. Adam, I. Ahsan, M. Belyansky, L. Black, S. Butt, J. Cheng, A. Chou, G. Costrini, C. Dimitrakopoulos, A. Domenicucci, P. Fisher, A. Frye, S. Gates, S. Greco, S. Grunow, M. Hargrove, J. Holt, S.-J. Jeng, M. Kelling, B. Kim, W. Landers, G. Larosa, D. Lea, M. H. Lee, X. Liu, N. Lustig, A. McKnight, L. Nicholson, D. Nielsen, K. Nummy, V. Ontalus, C. Ouyang, X. Ouyang, C. Prindle, R. Pal, W. Rausch, D. Restaino, C. Sheraw, J. Sim, A. Simon, T. Standaert, C. Y. Sung, K. Tabakman, C. Tian, R. Van Den Nieuwenhuizen, H. Van Meer, A. Vayshenker, D. Wehella-Gamage, J. Werking, R. C. Wong, J. Yu, S. Wu, R. Augur, D. Brown, X. Chen, D. Edelstein, A. Grill, M. Khare, Y. Li, S. Luning, J. Norum, S. Sankaran, D. Schepis, R.Wachnik, R.Wise, C.Wann, T. Ivers, and P. Agnello, "High performance 45-nm SOI technology with enhanced strain, porous low-k BEOL, and immersion lithography," in IEDM Tech. Dig., 2006, pp. 1-4.
-
(2006)
IEDM Tech. Dig.
, pp. 1-4
-
-
Narasimha, S.1
Onishi, K.2
Nayfeh, H.M.3
Waite, A.4
Weybright, M.5
Johnson, J.6
Fonseca, C.7
Corliss, D.8
Robinson, C.9
Crouse, M.10
Yang, D.11
Wu, C.-H.J.12
Gabor, A.13
Adam, T.14
Ahsan, I.15
Belyansky, M.16
Black, L.17
Butt, S.18
Cheng, J.19
Chou, A.20
Costrini, G.21
Dimitrakopoulos, C.22
Domenicucci, A.23
Fisher, P.24
Frye, A.25
Gates, S.26
Greco, S.27
Grunow, S.28
Hargrove, M.29
Holt, J.30
Jeng, S.-J.31
Kelling, M.32
Kim, B.33
Landers, W.34
Larosa, G.35
Lea, D.36
Lee, M.H.37
Liu, X.38
Lustig, N.39
McKnight, L.40
Nicholson, A.41
Nielsen, D.42
Nummy, K.43
Ontalus, V.44
Ouyang, C.45
Ouyang, X.46
Prindle, C.47
Pal, R.48
Rausch, W.49
Restaino, D.50
Sheraw, C.51
Sim, J.52
Simon, A.53
Standaert, T.54
Sung, C.Y.55
Tabakman, K.56
Tian, C.57
Van Nieuwenhuizen Den, R.58
Van Meer, H.59
Vayshenker, A.60
Wehella-Gamage, D.61
Werking, J.62
Wong, R.C.63
Yu, J.64
Wu, S.65
Augur, R.66
Brown, D.67
Chen, X.68
Edelstein, D.69
Grill, A.70
Khare, M.71
Li, Y.72
Luning, S.73
Norum, J.74
Sankaran, S.75
Schepis, D.76
Wachnik, R.77
Wise, R.78
Wann, C.79
Ivers, T.80
Agnello, P.81
more..
-
5
-
-
64549135353
-
High performance Cu interconnects with damage-less full molecular-pore-stack (MPS) SiCOH for 32-nm-node LSIs and beyond
-
M. Ueki, M. Tagami, F. Ito, I. Kume, H. Yamamoto, J. Kawahara, N. Inoue, K. Hijioka, T. Takeuchi, S. Saito, T. Onodera, N. Furutake, N. Okada, and Y. Hayashi, "High performance Cu interconnects with damage-less full molecular-pore-stack (MPS) SiCOH for 32-nm-node LSIs and beyond," in IEDM Tech. Dig., 2008, pp. 619-622.
-
(2008)
IEDM Tech. Dig.
, pp. 619-622
-
-
Ueki, M.1
Tagami, M.2
Ito, F.3
Kume, I.4
Yamamoto, H.5
Kawahara, J.6
Inoue, N.7
Hijioka, K.8
Takeuchi, T.9
Saito, S.10
Onodera, T.11
Furutake, N.12
Okada, N.13
Hayashi, Y.14
-
6
-
-
22844453561
-
Effects of H2 plasma treatment on low dielectric constant methylsilsesquioxane
-
Sep./Oct.
-
T. C. Chang, P. T. Liu, Y. J. Mei, Y. S. Mor, T. H. Perng, Y. L. Yang, and S. M. Sze, "Effects of H2 plasma treatment on low dielectric constant methylsilsesquioxane," J. Vac. Sci. Technol. B, vol. 17, no. 5, pp. 2325-2330, Sep./Oct. 1999.
-
(1999)
J. Vac. Sci. Technol. B
, vol.17
, Issue.5
, pp. 2325-2330
-
-
Chang, T.C.1
Liu, P.T.2
Mei, Y.J.3
Mor, Y.S.4
Perng, T.H.5
Yang, Y.L.6
Sze, S.M.7
-
7
-
-
0942267561
-
Etching mechanisms of low-k SiCOH and selectivity to SiCH and SiO2 in fluorocarbon based plasmas ?
-
Nov./Dec.
-
N. Posseme, T. Chevolleau, O. Jouert, and L. Vallier, "Etching mechanisms of low-k SiCOH and selectivity to SiCH and SiO2 in fluorocarbon based plasmas ?," J. Vac. Sci. Technol. B, vol. 21, no. 6, pp. 2432-2440, Nov./Dec. 2003.
-
(2003)
J. Vac. Sci. Technol. B
, vol.21
, Issue.6
, pp. 2432-2440
-
-
Posseme, N.1
Chevolleau, T.2
Jouert, O.3
Vallier, L.4
-
8
-
-
22544447067
-
Low-damage damascene patterning using porous inorganic low-dielectric-constant materials
-
DOI 10.1143/JJAP.44.2976
-
K. Yonekura, K. Goto, M. Matsuura, N. Fujiwara and K. Tsujimoto, "Low-damage damascene patterning using porous inorganic lowdielectric-constant materials," Jpn. J. Appl. Phys., vol. 44, no. 5A, pp. 2976-2981, May 2005 (Pubitemid 41012880)
-
(2005)
Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
, vol.44
, Issue.5
, pp. 2976-2981
-
-
Yonekura, K.1
Goto, K.2
Matsuura, M.3
Fujiwara, N.4
Tsujimoto, K.5
-
9
-
-
28044458305
-
Dual damascene ash development for a VFTL of target k = 2.0 integration
-
DOI 10.1016/j.mee.2005.07.088, PII S0167931705003643
-
B.White, A. Knorr,W. Engbrecht, B. Kastenmeier, S. Das, R. McGowan, S. Satyanarayana, and M. Gallagher, "Dual damascene ash development for a VFTL of target k = 2.0 integration," Microelectron. Eng., vol. 82, no. 3/4, pp. 348-355, Dec. 2005. (Pubitemid 41690467)
-
(2005)
Microelectronic Engineering
, vol.82
, Issue.3-4 SPEC. ISSUE
, pp. 348-355
-
-
White, B.1
Knorr, A.2
Engbrecht, W.3
Kastenmeier, B.4
Das, S.5
McGowan, R.6
Satyanarayana, S.7
Gallagher, M.8
-
10
-
-
34547242239
-
Etch induced sidewall damage evaluation in porous low- k methyl silsesquioxane films
-
DOI 10.1116/1.2717192
-
B. Kong, T. Choi, S. Sirard, D. J. Kim, and N.-E. Lee, "Etch induced sidewall damage evaluation in porous low-k methyl silsesquioxane films," J. Vac. Sci. Technol. A, vol. 25, no. 4, pp. 986-989, Jul./Aug. 2007. (Pubitemid 47142926)
-
(2007)
Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films
, vol.25
, Issue.4
, pp. 986-989
-
-
Kong, B.1
Choi, T.2
Sirard, S.3
Kim, D.J.4
Lee, N.-E.5
-
11
-
-
74449093527
-
Mechanism of porous low-k film damage induced by plasma etching radicals
-
Mar. 2010
-
Y. Iba, S. Ozaki, M. Sasaki, Y. Kobayashi, T. Kirimura, and Y. Nakata, "Mechanism of porous low-k film damage induced by plasma etching radicals," Microelectron. Eng., vol. 87, no. 3, pp. 451-456, Mar. 2010.
-
Microelectron. Eng.
, vol.87
, Issue.3
, pp. 451-456
-
-
Iba, Y.1
Ozaki, S.2
Sasaki, M.3
Kobayashi, Y.4
Kirimura, T.5
Nakata, Y.6
-
12
-
-
33744794333
-
Influence of CMP chemicals on the properties of porous silica low- k films
-
DOI 10.1149/1.2196787
-
A. Ishikawa, Y. Shishida, T. Yamanishi, N. Hata, T. Nakayama, N. Fujii, H. Tanaka, H. Matsuo, K. Kinoshita, and T. Kikkawa, "Influence of CMP chemicals on the properties of porous silica low-k films," J. Electrochem. Soc., vol. 153, no. 7, pp. G692-G696, May 2006. (Pubitemid 43838729)
-
(2006)
Journal of the Electrochemical Society
, vol.153
, Issue.7
-
-
Ishikawa, A.1
Shishida, Y.2
Yamanishi, T.3
Hata, N.4
Nakayama, T.5
Fujii, N.6
Tanaka, H.7
Matsuo, H.8
Kinoshita, K.9
Kikkawa, T.10
-
13
-
-
8644229421
-
Characterization of patterned low-k film delamination during CMP for the 32 nm-node Cu/Ultra low-k (k = 1.6?1.8) integration
-
B. U. Yoon, S. Kondo, S. Tokitoh, A. Namiki, K. Misawa, K. Inukai, N. Ohashi, and N. Kobayashi, "Characterization of patterned low-k film delamination during CMP for the 32 nm-node Cu/Ultra low-k (k = 1.6?1.8) integration," in Proc. Conf. Int. Interconnect Technol. Conf., 2004, pp. 239-241.
-
(2004)
Proc. Conf. Int. Interconnect Technol. Conf.
, pp. 239-241
-
-
Yoon, B.U.1
Kondo, S.2
Tokitoh, S.3
Namiki, A.4
Misawa, K.5
Inukai, K.6
Ohashi, N.7
Kobayashi, N.8
-
14
-
-
23944478835
-
Properties of high-performance porous SiOC low-k film fabricated using electron-beam curing
-
DOI 10.1143/JJAP.44.3872
-
T. Yoda, K. Fujita, H. Miyajima, R. Nakata, N. Miyashita, and N. Hayasaka, "Properties of high-performance porous SiCO low-k film fabricated using electron-beam curing," Jpn. J. Appl. Phys., vol. 44, pp. 3872-3878, Jun. 2005. (Pubitemid 41205437)
-
(2005)
Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers
, vol.44
, Issue.6
, pp. 3872-3878
-
-
Yoda, T.1
Fujita, K.2
Miyajima, H.3
Nakata, R.4
Miyashita, N.5
Hayasaka, N.6
-
15
-
-
8644246782
-
Challenges of clean/strip processing for Cu/low-k technology
-
M. R. Baklanov, Q. T. Le, E. Kesters, F. Iacopi, J. Van Aelst, H. Struyf, W. Boullart, S. Vanhaelemeersch, and K.Maex, "Challenges of clean/strip processing for Cu/low-k technology," in Proc. Conf. Int. Interconnect Technol. Conf., 2004, pp. 187-189.
-
(2004)
Proc. Conf. Int. Interconnect Technol. Conf.
, pp. 187-189
-
-
Baklanov, M.R.1
Le, Q.T.2
Kesters, E.3
Iacopi, F.4
Van Aelst, J.5
Struyf, H.6
Boullart, W.7
Vanhaelemeersch, S.8
Maex, K.9
-
16
-
-
34548846136
-
Damageless Cu chemical mechanical polishing for porous SiOC/Cu interconnects
-
DOI 10.1016/j.mee.2007.05.036, PII S0167931707005837
-
S. Kondo, K. Fukaya, K. Yamada, T. Miyazaki, M. Fujita, D. Abe, S. Kunisaki, T. Enomoto, and S. Tominaga, "Damageless Cu chemical mechanical polishing for porous SiOC/Cu interconnects," Microelectron. Eng., vol. 84, no. 11, pp. 2615-2619, Jun. 2007. (Pubitemid 47445834)
-
(2007)
Microelectronic Engineering
, vol.84
, Issue.11
, pp. 2615-2619
-
-
Kondo, S.1
Fukaya, K.2
Yamada, K.3
Miyazaki, T.4
Fujita, M.5
Abe, D.6
Kunisaki, S.7
Enomoto, T.8
Tominaga, S.9
-
17
-
-
84962909346
-
A high performance 0.13 ?m copper BEOL technology with low-k dielectric
-
R. D. Goldblatt, B. Agarwala, M. B. Anand, E. P. Barth, G. A. Biery, Z. G. Chen, S. Cohen, J. B. Connolly, A. Cowley, T. Dalton, S. K. Das, C. R. Davis, A. Deutsch, C. DeWan, D. C. Edelstein, P. A. Emmi, C. G. Faltermeier, J. A. Fitzsimmons, J. Hedrick, J. E. Heidenreich, C. K. Hu, J. P. Hummel, P. Jones, E. Kaltalioglu, B. E. Kastenmeier, M. Krishnan, W. F. Landers, E. Liniger, J. Liu, N. E. Lustig, S. Malhotra, D. K. Manger, V. McGahay, R. Mih, H. A. Nye, S. Purushothaman, H. A. Rathore, S. C. Seo, T. M. Shaw, A. H. Simon, T. A. Spoone, M. Stetter, R. A. Wachnik, and J. G. Ryan, "A high performance 0.13 ?m copper BEOL technology with low-k dielectric," in Proc. Conf. Int. Interconnect Technol. Conf., 2000, pp. 261-263.
-
(2000)
Proc. Conf. Int. Interconnect Technol. Conf.
, pp. 261-263
-
-
Goldblatt, R.D.1
Agarwala, B.2
Anand, M.B.3
Barth, E.P.4
Biery, G.A.5
Chen, Z.G.6
Cohen, S.7
Connolly, J.B.8
Cowley, A.9
Dalton, T.10
Das, S.K.11
Davis, C.R.12
Deutsch, A.13
Dewan, C.14
Edelstein, D.C.15
Emmi, P.A.16
Faltermeier, C.G.17
Fitzsimmons, J.A.18
Hedrick, J.19
Heidenreich, J.E.20
Hu, C.K.21
Hummel, J.P.22
Jones, P.23
Kaltalioglu, E.24
Kastenmeier, B.E.25
Krishnan, M.26
Landers, W.F.27
Liniger, E.28
Liu, J.29
Lustig, N.E.30
Malhotra, S.31
Manger, D.K.32
McGahay, V.33
Mih, R.34
Nye, H.A.35
Purushothaman, S.36
Rathore, H.A.37
Seo, S.C.38
Shaw, T.M.39
Simon, A.H.40
Spoone, T.A.41
Stetter, M.42
Wachnik, R.A.43
Ryan, J.G.44
more..
-
18
-
-
21544468113
-
Fluorinated amorphous carbon thin films grown by plasma enhanced chemical vapor deposition for low dielectric constant interlayer dielectrics
-
Jul.
-
K. Endo and T. Tatsumi, "Fluorinated amorphous carbon thin films grown by plasma enhanced chemical vapor deposition for low dielectric constant interlayer dielectrics," J. Appl. Phys., vol. 78, no. 2, pp. 1370-1372, Jul. 1995.
-
(1995)
J. Appl. Phys.
, vol.78
, Issue.2
, pp. 1370-1372
-
-
Endo, K.1
Tatsumi, T.2
-
19
-
-
0032049115
-
Application of fluorinated amorphous carbon thin films for low dielectric constant interlayer dielectrics
-
Apr.
-
K. Endo, T. Tatsumi, Y. Matusbara, and T. Horiuchi, "Application of fluorinated amorphous carbon thin films for low dielectric constant interlayer dielectrics," Jpn. J. Appl. Phys., vol. 37, no. 4A, pp. 1809-1814, Apr. 1998.
-
(1998)
Jpn. J. Appl. Phys.
, vol.37
, Issue.4 A
, pp. 1809-1814
-
-
Endo, K.1
Tatsumi, T.2
Matusbara, Y.3
Horiuchi, T.4
-
20
-
-
0042998151
-
Deposition of highly crosslinked fluorinated amorphous carbon film and structural evolution during thermal annealing
-
DOI 10.1063/1.122190, PII S000369519803037X
-
H. Yang, D. J. Tweet, Y. Ma, and T. Nguyen, "Deposition of highly crosslinked fluorinated amorphous carbon film and structural evolution during thermal annealing," Appl. Phys. Lett., vol. 73, no. 11, pp. 1514-1516, Sep. 1998. (Pubitemid 128671899)
-
(1998)
Applied Physics Letters
, vol.73
, Issue.11
, pp. 1514-1516
-
-
Yang, H.1
Tweet, D.J.2
Ma, Y.3
Nguyen, T.4
-
21
-
-
0000683947
-
Structural and electronic properties of low dielectric constant fluorinated amorphous carbon films
-
DOI 10.1063/1.121601, PII S0003695198045252
-
Y. Ma, H. Yang, J. Guo, C. Sathe, and J. Nordgren, "Structural and electronic properties of low dielectric constant fluorinated amorphous carbon films," Appl. Phys. Lett., vol. 72, no. 25, pp. 3353-3355, Jun. 1998. (Pubitemid 128673653)
-
(1998)
Applied Physics Letters
, vol.72
, Issue.25
, pp. 3353-3355
-
-
Ma, Y.1
Yang, H.2
Guo, J.3
Sathe, C.4
Agui, A.5
Nordgren, J.6
-
22
-
-
0033297510
-
Multilevel damascene interconnection in integration of MOCVD Cu and low-k fluorinated amorphous carbon
-
H. Yang, D. R. Evans, T. Nguyen, L. H. Stecker, B. Ulrich, and S. T. Hsu, "Multilevel damascene interconnection in integration of MOCVD Cu and low-k fluorinated amorphous carbon," in Proc. Mater. Res. Soc. Symp., 1999, vol. 565, pp. 129-134.
-
(1999)
Proc. Mater. Res. Soc. Symp.
, vol.565
, pp. 129-134
-
-
Yang, H.1
Evans, D.R.2
Nguyen, T.3
Stecker, L.H.4
Ulrich, B.5
Hsu, S.T.6
-
23
-
-
79957447092
-
Electrical characteristics of novel non-porous low-k dielectric fluorocarbon on Cu interconnects for 22 nm generation and beyond
-
May
-
X. Gu, T. Nemoto, Y. Tomita, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, S. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, "Electrical characteristics of novel non-porous low-k dielectric fluorocarbon on Cu interconnects for 22 nm generation and beyond," Jpn. J. Appl. Phys., vol. 50, no. 5, pp. 05E B02-1-05E B02-5, May 2011.
-
(2011)
Jpn. J. Appl. Phys.
, vol.50
, Issue.5
-
-
Gu, X.1
Nemoto, T.2
Tomita, Y.3
Miyatani, K.4
Saito, A.5
Kobayashi, Y.6
Teramoto, A.7
Kuroki, S.8
Nozawa, T.9
Matsuoka, T.10
Sugawa, S.11
Ohmi, T.12
-
24
-
-
80052039432
-
Cu damascene interconnects with an organic low-k fluorocarbon dielectric deposited by microwave excited plasma enhanced CVD
-
X. Gu, T. Nemoto, Y. Tomita, A. Shirotori, R. D. Mateo, K. Miyatani, A. Saito, Y. Kobayashi, A. Tetamoto, S. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, "Cu damascene interconnects with an organic low-k fluorocarbon dielectric deposited by microwave excited plasma enhanced CVD," in Proc. Conf. Int. Interconnect Technol. Conf., 2011, pp. 1-3.
-
(2011)
Proc. Conf. Int. Interconnect Technol. Conf.
, pp. 1-3
-
-
Gu, X.1
Nemoto, T.2
Tomita, Y.3
Shirotori, A.4
Mateo, R.D.5
Miyatani, K.6
Saito, A.7
Kobayashi, Y.8
Tetamoto, A.9
Kuroki, S.10
Nozawa, T.11
Matsuoka, T.12
Sugawa, S.13
Ohmi, T.14
-
25
-
-
29144523105
-
New era of silicon technologies due to radical reaction based semiconductor manufacturing
-
DOI 10.1088/0022-3727/39/1/R01, PII S0022372706906640
-
T. Ohmi,M. Hirayama, and A. Teramoto, "New era of silicon technologies due to radical reaction based semiconductor manufacturing," J. Phys. D, Appl. Phys., vol. 39, no. 1, pp. R1-R17, Jan. 2006. (Pubitemid 41811042)
-
(2006)
Journal of Physics D: Applied Physics
, vol.39
, Issue.1
-
-
Ohmi, T.1
Hirayama, M.2
Teramoto, A.3
-
26
-
-
54249141053
-
Low-dielectric-constant nonporous fluorocarbon films for interlayer dielectric
-
Apr.
-
A. Itoh, A. Inokuchi, S. Yasuda, A. Teramoto, T. Goto, M. Hirayama, and T. Ohmi, "Low-dielectric-constant nonporous fluorocarbon films for interlayer dielectric," Jpn. J. Appl. Phys., vol. 47, no. 4, pp. 2515-2520, Apr. 2008.
-
(2008)
Jpn. J. Appl. Phys.
, vol.47
, Issue.4
, pp. 2515-2520
-
-
Itoh, A.1
Inokuchi, A.2
Yasuda, S.3
Teramoto, A.4
Goto, T.5
Hirayama, M.6
Ohmi, T.7
-
27
-
-
0038686578
-
High-quality silicon oxide film formed by diffusion region plasma enhanced chemical vapor deposition and oxygen radical treatment using microwave-excited high-density plasma
-
Apr.
-
H. Tanaka, Z. Chuanjie, Y. Hayakawa, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, "High-quality silicon oxide film formed by diffusion region plasma enhanced chemical vapor deposition and oxygen radical treatment using microwave-excited high-density plasma," Jpn. J. Appl. Phys., vol. 42, no. 4B, pp. 1911-1915, Apr. 2003.
-
(2003)
Jpn. J. Appl. Phys.
, vol.42
, Issue.4 B
, pp. 1911-1915
-
-
Tanaka, H.1
Chuanjie, Z.2
Hayakawa, Y.3
Hirayama, M.4
Teramoto, A.5
Sugawa, S.6
Ohmi, T.7
-
28
-
-
0038348100
-
A new microwave-excited plasma etching equipment for separating plasma excited region from etching process region
-
Apr.
-
T. Goto, M. Hirayama, H. Yamauchi, M. Moriguchi, S. Sugawa, and T. Ohmi, "A new microwave-excited plasma etching equipment for separating plasma excited region from etching process region," Jpn. J. Appl. Phys., vol. 42, no. 4B, pp. 1887-1891, Apr. 2003.
-
(2003)
Jpn. J. Appl. Phys.
, vol.42
, Issue.4 B
, pp. 1887-1891
-
-
Goto, T.1
Hirayama, M.2
Yamauchi, H.3
Moriguchi, M.4
Sugawa, S.5
Ohmi, T.6
-
29
-
-
3142635078
-
High-speed damage-free contact hole etching using dual shower head microwave-excited high-density-plasma equipment
-
Apr.
-
T. Goto, H. Yamauchi, T. Kato, M. Terasaki, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, "High-speed damage-free contact hole etching using dual shower head microwave-excited high-density-plasma equipment," Jpn. J. Appl. Phys., vol. 43, no. 4B, pp. 1784-1787, Apr. 2004.
-
(2004)
Jpn. J. Appl. Phys.
, vol.43
, Issue.4 B
, pp. 1784-1787
-
-
Goto, T.1
Yamauchi, H.2
Kato, T.3
Terasaki, M.4
Teramoto, A.5
Hirayama, M.6
Sugawa, S.7
Ohmi, T.8
-
30
-
-
0033743064
-
Leakage and breakdown reliability issues associated with low-k dielectrics in a dual-damascene Cu process
-
R. Tsu, J. W. McPherson, and W. R. McKee, "Leakage and breakdown reliability issues associated with low-k dielectrics in a dual-damascene Cu process," in Proc. Conf. Int. Reliab. Phys. Symp., 2000, pp. 348-353.
-
(2000)
Proc. Conf. Int. Reliab. Phys. Symp.
, pp. 348-353
-
-
Tsu, R.1
McPherson, J.W.2
McKee, W.R.3
-
31
-
-
0036749622
-
Effects of plasma treatment on the properties of Cu/Ta/fluorinated amorphous carbon (a-C:F)/Si multilayer structure
-
DOI 10.1116/1.1502694
-
S. H. Yang, H. Kim, and J. W. Park, "Effects of plasma treatment on the properities of Cu/Ta/fluorinated amourphous carbon (a-C:F)/Si multilayer structure," J. Vac. Sci. Technol. A, vol. 20, no. 5, pp. 1769-1773, Sep. 2002. (Pubitemid 35172437)
-
(2002)
Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films
, vol.20
, Issue.5
, pp. 1769-1773
-
-
Yang, S.-H.1
Kim, H.2
Park, J.-W.3
-
32
-
-
0033466464
-
Integration of fluorinated amorphous carbon as low-dielectric constant Insulator: Effects of heating and deposition of tantalum nitride
-
J. P. Chang, H. W. Krautter, W. Zhu, R. L. Opila, and C. S. Pai, "Integration of fluorinated amorphous carbon as low-dielectric constant insulator: Effects of heating and deposition of tantalum nitride," J. Vac. Sci. Technol. A, vol. 17, no. 5, pp. 2969-2974, Sep./Oct. 1999. (Pubitemid 129653306)
-
(1999)
Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films
, vol.17
, Issue.5
, pp. 2969-2974
-
-
Chang, J.P.1
Krautter, H.W.2
Zhu, W.3
Opila, R.L.4
Pai, C.S.5
-
33
-
-
77953964855
-
Novel endpoint detection method by monitoring shear force oscillation frequency for barrier metal polishing in advanced LSI
-
X. Gu, T. Nemoto, Y. Sampurno, J. Cheng, S. Theng, A. Philipossian, Y. Zhuang, A. Teramoto, T. Ito, S. Sugawa, and T. Ohmi, "Novel endpoint detection method by monitoring shear force oscillation frequency for barrier metal polishing in advanced LSI," in Proc. Mater. Res. Soc. Symp., 2009, vol. 1157, pp. 157-162.
-
(2009)
Proc. Mater. Res. Soc. Symp.
, vol.1157
, pp. 157-162
-
-
Gu, X.1
Nemoto, T.2
Sampurno, Y.3
Cheng, J.4
Theng, S.5
Philipossian, A.6
Zhuang, Y.7
Teramoto, A.8
Ito, T.9
Sugawa, S.10
Ohmi, T.11
-
34
-
-
77953144334
-
End-point detection of Ta/TaN chemical mechanical planarization via forces analysis
-
May
-
Y. Sampurno, X. Gu, T. Nemoto, Y. Zhuang, A. Teramoto, A. Philipossian, and T. Ohmi, "End-point detection of Ta/TaN chemical mechanical planarization via forces analysis," Jpn. J. Appl. Phys., vol. 49, no. 5, pp. 05F C01-1-05F C01-4, May 2010.
-
(2010)
Jpn. J. Appl. Phys.
, vol.49
, Issue.5
-
-
Sampurno, Y.1
Gu, X.2
Nemoto, T.3
Zhuang, Y.4
Teramoto, A.5
Philipossian, A.6
Ohmi, T.7
-
35
-
-
79957516126
-
Qualification of dynamic pressure distribution on wafer by pressure sensing sheet during polishing
-
X. Gu, T. Nemoto, A. Teramoto, S. Sugawa, and T. Ohmi, "Qualification of dynamic pressure distribution on wafer by pressure sensing sheet during polishing," in Proc. Conf. Int. Conf. Planarization/CMP Technol., 2009, pp. 22-27.
-
(2009)
Proc. Conf. Int. Conf. Planarization/CMP Technol.
, pp. 22-27
-
-
Gu, X.1
Nemoto, T.2
Teramoto, A.3
Sugawa, S.4
Ohmi, T.5
-
36
-
-
65449186575
-
Effect of additives in organic acid solutions for post-CMP cleaning on polymer low-k fluorocarbon
-
Apr.
-
X. Gu, T. Nemoto, A. Teramoto, T. Ito, and T. Ohmi, "Effect of additives in organic acid solutions for post-CMP cleaning on polymer low-k fluorocarbon," J. Electrochem. Soc., vol. 156, no. 6, pp. H409-H415, Apr. 2009.
-
(2009)
J. Electrochem. Soc.
, vol.156
, Issue.6
-
-
Gu, X.1
Nemoto, T.2
Teramoto, A.3
Ito, T.4
Ohmi, T.5
-
37
-
-
75849151161
-
Damage-free post-CMP cleaning solution for low-k fluorocarbon on advanced interconnects
-
Jan.
-
X. Gu, T. Nemoto, A. Teramoto, R. Hasebe, T. Ito, and T. Ohmi, "Damage-free post-CMP cleaning solution for low-k fluorocarbon on advanced interconnects," Solid State Phenom., vol. 145/146, pp. 381-384, Jan. 2009.
-
(2009)
Solid State Phenom.
, vol.145-146
, pp. 381-384
-
-
Gu, X.1
Nemoto, T.2
Teramoto, A.3
Hasebe, R.4
Ito, T.5
Ohmi, T.6
-
38
-
-
79955641541
-
Reduction of scratch on brush scrubbing in post CMP cleaning by analyzing contact kinetics on ultra low-k dielectric
-
X. Gu, T. Nemoto, A. Teramoto, T. Ito, S. Sugawa, and T. Ohmi, "Reduction of scratch on brush scrubbing in post CMP cleaning by analyzing contact kinetics on ultra low-k dielectric," ECS Trans., vol. 19, no. 7, pp. 103-109, 2009.
-
(2009)
ECS Trans.
, vol.19
, Issue.7
, pp. 103-109
-
-
Gu, X.1
Nemoto, T.2
Teramoto, A.3
Ito, T.4
Sugawa, S.5
Ohmi, T.6
-
39
-
-
79957462830
-
Tribological effects of brush scrubbing in post-CMP cleaning on the electrical characteristics in the novel non-porous low-k dielectric on Cu interconnects
-
May
-
X. Gu, T. Nemoto, Y. Tomita, A. Teramoto, S. Sugawa, and T. Ohmi, "Tribological effects of brush scrubbing in post-CMP cleaning on the electrical characteristics in the novel non-porous low-k dielectric on Cu interconnects," Jpn. J. Appl. Phys., vol. 50, no. 5, pp. 05E C07-1-05E C07-6, May 2011.
-
(2011)
Jpn. J. Appl. Phys.
, vol.50
, Issue.5
-
-
Gu, X.1
Nemoto, T.2
Tomita, Y.3
Teramoto, A.4
Sugawa, S.5
Ohmi, T.6
-
40
-
-
80054014583
-
Tribological study of brush scrubbing in post-chemical mechanical planarization cleaning in non-porous ultralow-k dielectric/Cu interconnects
-
Oct.
-
X. Gu, T. Nemoto, A. Teramoto, M. Sakuragi, S. Sugawa, and T. Ohmi, "Tribological study of brush scrubbing in post-chemical mechanical planarization cleaning in non-porous ultralow-k dielectric/Cu interconnects," J. Electrochem. Soc., vol. 158, no. 11, pp. H1 145-H1 151, Oct. 2011.
-
(2011)
J. Electrochem. Soc.
, vol.158
, Issue.11
-
-
Gu, X.1
Nemoto, T.2
Teramoto, A.3
Sakuragi, M.4
Sugawa, S.5
Ohmi, T.6
-
41
-
-
58149259989
-
Angle-resolved photoelectron study on the structures of silicon nitride films and Si3N4/Si interfaces formed using nitrogen-hydrogen radicals
-
Dec.
-
T. Aratani, M. Higuchi, S. Sugawa, E. Ikenaga, J. Ushio, H. Nohira, T. Suwa, A. Teramoto, T. Ohmi, and T. Hattori, "Angle-resolved photoelectron study on the structures of silicon nitride films and Si3N4/Si interfaces formed using nitrogen-hydrogen radicals," J. Appl. Phys., vol. 104, no. 11, pp. 114 112-1-114 112-8, Dec. 2008.
-
(2008)
J. Appl. Phys.
, vol.104
, Issue.11
, pp. 1141121-1141128
-
-
Aratani, T.1
Higuchi, M.2
Sugawa, S.3
Ikenaga, E.4
Ushio, J.5
Nohira, H.6
Suwa, T.7
Teramoto, A.8
Ohmi, T.9
Hattori, T.10
-
42
-
-
77952416808
-
Crystallographic orientation dependence of compositional transition and valence band offset at SiO2/Si interface formed using oxygen radicals
-
Apr.
-
T. Suwa, A. Teramoto, Y. Kumagai, K. Abe, X. Li, Y. Nakao, M. Yamamoto, Y. Kato, T. Muro, T. Kinoshita, T. Ohmi, and T. Hattori, "Crystallographic orientation dependence of compositional transition and valence band offset at SiO2/Si interface formed using oxygen radicals," Appl. Phys Lett., vol. 96, no. 17, pp. 173 103-1-173 103-2, Apr. 2010.
-
(2010)
Appl. Phys Lett.
, vol.96
, Issue.17
, pp. 1731031-1731032
-
-
Suwa, T.1
Teramoto, A.2
Kumagai, Y.3
Abe, K.4
Li, X.5
Nakao, Y.6
Yamamoto, M.7
Kato, Y.8
Muro, T.9
Kinoshita, T.10
Ohmi, T.11
Hattori, T.12
-
43
-
-
21844523605
-
Plasma-induced damage of GaAs during etching of refractory metal contacts
-
May/Jun.
-
R. J. Shul, M. L. Lovejoy, A. G. Baca, J. C. Zolper, D. J. Rieger, M. J. Hafich, R. F. Corless, and C. B. Vartuli, "Plasma-induced damage of GaAs during etching of refractory metal contacts," J. Vac. Sci. Technol. A, vol. 13, no. 3, pp. 912-917, May/Jun. 1995.
-
(1995)
J. Vac. Sci. Technol. A
, vol.13
, Issue.3
, pp. 912-917
-
-
Shul, R.J.1
Lovejoy, M.L.2
Baca, A.G.3
Zolper, J.C.4
Rieger, D.J.5
Hafich, M.J.6
Corless, R.F.7
Vartuli, C.B.8
-
44
-
-
84860237420
-
Process optimization for improved compatibility with organic non-porous low-k dielectric fluorocarbon on advanced Cu interconnects
-
X. Gu, Y. Tomita, T. Nemoto, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, R. Kuroda, S. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, "Process optimization for improved compatibility with organic non-porous low-k dielectric fluorocarbon on advanced Cu interconnects," in Proc. 21st Asian Session Adv. Metallization Conf., 2011, pp. 20-21.
-
(2011)
Proc. 21st Asian Session Adv. Metallization Conf.
, pp. 20-21
-
-
Gu, X.1
Tomita, Y.2
Nemoto, T.3
Miyatani, K.4
Saito, A.5
Kobayashi, Y.6
Teramoto, A.7
Kuroda, R.8
Kuroki, S.9
Nozawa, T.10
Matsuoka, T.11
Sugawa, S.12
Ohmi, T.13
-
45
-
-
84860230131
-
Integration process development for improved capatibility with organic non-porous ultralow-k dielectric fluorocarbon on advanced Cu interconnects
-
May to be published
-
X. Gu, Y. Tomita, T. Nemoto, K. Miyatani, A. Saito, Y. Kobayashi, A. Tetamoto, R. Kuroda, S. Kuroki, K. Kawase, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, "Integration process development for improved capatibility with organic non-porous ultralow-k dielectric fluorocarbon on advanced Cu interconnects," Jpn. J. Appl. Phys., May 2012, to be published.
-
(2012)
Jpn. J. Appl. Phys.
-
-
Gu, X.1
Tomita, Y.2
Nemoto, T.3
Miyatani, K.4
Saito, A.5
Kobayashi, Y.6
Tetamoto, A.7
Kuroda, R.8
Kuroki, S.9
Kawase, K.10
Nozawa, T.11
Matsuoka, T.12
Sugawa, S.13
Ohmi, T.14
-
46
-
-
84860256193
-
Development of direct-polish process on nonporous ultra low-k dielectric/Cu interconnects for 22 nm generation and beyond
-
X. Gu, T. Nemoto, Y. Tomita, R. D. Mateo, A. Tetamoto, S. Kuroki, S. Sugawa, and T. Ohmi, "Development of direct-polish process on nonporous ultra low-k dielectric/Cu interconnects for 22 nm generation and beyond," in Proc. Conf. Int. Conf. Planarization/CMP Technol., 2010, pp. 51-54.
-
(2010)
Proc. Conf. Int. Conf. Planarization/CMP Technol.
, pp. 51-54
-
-
Gu, X.1
Nemoto, T.2
Tomita, Y.3
Mateo, R.D.4
Tetamoto, A.5
Kuroki, S.6
Sugawa, S.7
Ohmi, T.8
-
47
-
-
79959632297
-
Advanced direct-polish process on organic non-porous ultra low-k fluorocarbon dielectric on Cu interconnects
-
X. Gu, T. Nemoto, Y. Tomita, R. Duyos Mateo, A. Tetamoto, S. Kuroki, S. Sugawa, and T. Ohmi, "Advanced direct-polish process on organic non-porous ultra low-k fluorocarbon dielectric on Cu interconnects," ECS Trans., vol. 34, no. 1, pp. 653-658, 2011.
-
(2011)
ECS Trans.
, vol.34
, Issue.1
, pp. 653-658
-
-
Gu, X.1
Nemoto, T.2
Tomita, Y.3
Duyos Mateo, R.4
Tetamoto, A.5
Kuroki, S.6
Sugawa, S.7
Ohmi, T.8
-
48
-
-
84857397201
-
Development of direct-polish process of CMP and post-CMP clean for next generation advanced Cu interconnects
-
X. Gu, Y. Tomita, T. Nemoto, A. Tetamoto, R. D. Mateo, T. Sakai, R. Kuroda, S. Sugawa, and T. Ohmi, "Development of direct-polish process of CMP and post-CMP clean for next generation advanced Cu interconnects," in Proc. Conf. Int. Conf. Planarization/CMP Technol., 2011, pp. 150-157.
-
(2011)
Proc. Conf. Int. Conf. Planarization/CMP Technol.
, pp. 150-157
-
-
Gu, X.1
Tomita, Y.2
Nemoto, T.3
Tetamoto, A.4
Mateo, R.D.5
Sakai, T.6
Kuroda, R.7
Sugawa, S.8
Ohmi, T.9
-
49
-
-
84857387135
-
Advanced direct-polishing process development of non-porous ultralow-k dielectric fluorocarbon with plasma treatment on Cu interconnects
-
Jan.
-
X. Gu, T. Nemoto, Y. Tomita, A. Tetamoto, R. Kuroda, S. Sugawa, and T. Ohmi, "Advanced direct-polishing process development of non-porous ultralow-k dielectric fluorocarbon with plasma treatment on Cu interconnects," J. Electrochem. Soc., vol. 159, no. 4, pp. H407-H411, Jan. 2012.
-
(2012)
J. Electrochem. Soc.
, vol.159
, Issue.4
-
-
Gu, X.1
Nemoto, T.2
Tomita, Y.3
Tetamoto, A.4
Kuroda, R.5
Sugawa, S.6
Ohmi, T.7
-
50
-
-
64549135008
-
Comprehensive study of 32 nm node ultralow-k/Cu (keff = 2.6) dual damascene integration featuring short TAT silylated porous silica (k = 2.1)
-
N. Oda, S. Chikaki, T. Kubota, S. Nakao, K. Tomioka, E. Soda, N. Nakamura, J. Nogawa, Y. Kawashima, R. Hayashi, and S. Saito, "Comprehensive study of 32 nm node ultralow-k/Cu (keff = 2.6) dual damascene integration featuring short TAT silylated porous silica (k = 2.1)," in IEDM Tech. Dig., 2008, pp. 1-4.
-
(2008)
IEDM Tech. Dig.
, pp. 1-4
-
-
Oda, N.1
Chikaki, S.2
Kubota, T.3
Nakao, S.4
Tomioka, K.5
Soda, E.6
Nakamura, N.7
Nogawa, J.8
Kawashima, Y.9
Hayashi, R.10
Saito, S.11
|