-
4
-
-
84944392430
-
Checkpoint processing and recovery: Towards scalable large instruction window processors
-
H. Akkary, R. Rajwar, and S. Srinivasan. Checkpoint processing and recovery: Towards scalable large instruction window processors. In MICRO '03, pages 423-434.
-
MICRO '03
, pp. 423-434
-
-
Akkary, H.1
Rajwar, R.2
Srinivasan, S.3
-
6
-
-
48849084261
-
Cortex-A8: High speed, low power
-
M. Baron. Cortex-A8: High speed, low power. Microprocessor Report, 2005.
-
(2005)
Microprocessor Report
-
-
Baron, M.1
-
8
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. In PACT '08, pages 72-81.
-
PACT '08
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
9
-
-
33846535493
-
The M5 simulator: Modeling networked systems
-
July
-
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The M5 simulator: Modeling networked systems. IEEE Micro, 26:52-60, July 2006.
-
(2006)
IEEE Micro
, vol.26
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
11
-
-
52649095061
-
Veal: Virtualized execution accelerator for loops
-
N. Clark, A. Hormati, and S. Mahlke. Veal: Virtualized execution accelerator for loops. In ISCA '08, pages 389-400.
-
ISCA '08
, pp. 389-400
-
-
Clark, N.1
Hormati, A.2
Mahlke, S.3
-
15
-
-
0029292848
-
Superscalar instruction execution in the 21164 alpha microprocessor
-
J. H. Edmondson, P. Rubinfeld, R. Preston, and V. Rajagopalan. Superscalar instruction execution in the 21164 alpha microprocessor. IEEE Micro, 15(2):33-43, 1995.
-
(1995)
IEEE Micro
, vol.15
, Issue.2
, pp. 33-43
-
-
Edmondson, J.H.1
Rubinfeld, P.2
Preston, R.3
Rajagopalan, V.4
-
19
-
-
84959055542
-
Altman. Precise exception semantics in dynamic compilation
-
M. Gschwind and E. R. Altman. Precise exception semantics in dynamic compilation. In CC '02, pages 95-110.
-
CC '02
, pp. 95-110
-
-
Gschwind, M.1
R, E.2
-
22
-
-
77954995378
-
Understanding sources of inefficiency in general-purpose chips
-
R. Hameed, W. Qadeer, M. Wachs, O. Azizi, A. Solomatnikov, B. C. Lee, S. Richardson, C. Kozyrakis, and M. Horowitz. Understanding sources of inefficiency in general-purpose chips. In ISCA '10.
-
ISCA '10
-
-
Hameed, R.1
Qadeer, W.2
Wachs, M.3
Azizi, O.4
Solomatnikov, A.5
Lee, B.C.6
Richardson, S.7
Kozyrakis, C.8
Horowitz, M.9
-
24
-
-
79953126016
-
Navigo: An early-stage model to study power-contrained architectures and specialization
-
M. Hempstead, G.-Y. Wei, and D. Brooks. Navigo: An early-stage model to study power-contrained architectures and specialization. In Proceedings of Workshop on Modeling, Benchmarking, and Simulations (MoBS), 2009.
-
Proceedings of Workshop on Modeling, Benchmarking, and Simulations (MoBS), 2009
-
-
Hempstead, M.1
Wei, G.-Y.2
Brooks, D.3
-
25
-
-
64949124579
-
ICFP: Tolerating all-level cache misses in in-order processors
-
A. Hilton, S. Nagarakatte, and A. Roth. iCFP: Tolerating all-level cache misses in in-order processors. In HPCA '09, pages 431-442.
-
HPCA '09
, pp. 431-442
-
-
Hilton, A.1
Nagarakatte, S.2
Roth, A.3
-
26
-
-
0023169554
-
Checkpoint repair for out-of-order execution machines
-
W. W. Hwu and Y. N. Patt. Checkpoint repair for out-of-order execution machines. In ISCA '87, pages 18-26.
-
ISCA '87
, pp. 18-26
-
-
Hwu, W.W.1
Patt, Y.N.2
-
29
-
-
25844503119
-
Introduction to the Cell multiprocessor
-
September
-
J. A. Kahle, M. N. Day, H. P. Hofstee, C. R. Johns, T. R. Maeurer, and D. Shippy. Introduction to the Cell multiprocessor. IBM Journal of Research and Development, 49(4/5), September 2005.
-
(2005)
IBM Journal of Research and Development
, vol.49
, Issue.4-5
-
-
Kahle, J.A.1
Day, M.N.2
Hofstee, H.P.3
Johns, C.R.4
Maeurer, T.R.5
Shippy, D.6
-
30
-
-
33748522708
-
Exploiting reference idempotency to reduce speculative storage overow
-
September
-
S. W. Kim, C.-L. Ooi, R. Eigenmann, B. Falsafi, and T. N. Vijaykumar. Exploiting reference idempotency to reduce speculative storage overow. ACM Trans. Program. Lang. Syst., 28:942-965, September 2006.
-
(2006)
ACM Trans. Program. Lang. Syst.
, vol.28
, pp. 942-965
-
-
Kim, S.W.1
Ooi, C.-L.2
Eigenmann, R.3
Falsafi, B.4
Vijaykumar, T.N.5
-
31
-
-
3042658703
-
LLVM: A compilation framework for lifelong program analysis & transformation
-
C. Lattner and V. Adve. LLVM: A compilation framework for lifelong program analysis & transformation. In CGO '04, pages 75-88.
-
CGO '04
, pp. 75-88
-
-
Lattner, C.1
Adve, V.2
-
32
-
-
0029208695
-
Compiler-based multiple instruction retry
-
C.-C. J. Li, S.-K. Chen, W. K. Fuchs, and W.-M. W. Hwu. Compiler-based multiple instruction retry. IEEE Transactions on Computers, 44(1):35-46, 1995.
-
(1995)
IEEE Transactions on Computers
, vol.44
, Issue.1
, pp. 35-46
-
-
Li, C.-C.J.1
Chen, S.-K.2
Fuchs, W.K.3
Hwu, W.-M.W.4
-
33
-
-
0026918386
-
Sentinel scheduling for VLIW and superscalar processors
-
S. A. Mahlke, W. Y. Chen, W.-m. W. Hwu, B. R. Rau, and M. S. Schlansker. Sentinel scheduling for VLIW and superscalar processors. In ASPLOS '92, pages 238-247.
-
ASPLOS '92
, pp. 238-247
-
-
Mahlke, S.A.1
Chen, W.Y.2
Hwu, W.W.3
Rau, B.R.4
Schlansker, M.S.5
-
34
-
-
84948992629
-
Cherry: Checkpointed early resource recycling in out-of-order microprocessors
-
J. Martinez, J. Renau, M. Huang, and M. Prvulovic. Cherry: Checkpointed early resource recycling in out-of-order microprocessors. In MICRO '02.
-
MICRO '02
-
-
Martinez, J.1
Renau, J.2
Huang, M.3
Prvulovic, M.4
-
35
-
-
62349140492
-
A simple latency tolerant processor
-
S. Nekkalapu, H. Akkary, K. Jothi, R. Retnamma, and X. Song. A simple latency tolerant processor. In ICCD '08, pages 384-389.
-
ICCD '08
, pp. 384-389
-
-
Nekkalapu, S.1
Akkary, H.2
Jothi, K.3
Retnamma, R.4
Song, X.5
-
37
-
-
0024013595
-
Implementing precise interrupts in pipelined processors
-
May
-
J. E. Smith and A. R. Pleszkun. Implementing precise interrupts in pipelined processors. IEEE Transactions on Computers, 37:562-573, May 1988.
-
(1988)
IEEE Transactions on Computers
, vol.37
, pp. 562-573
-
-
Smith, J.E.1
Pleszkun, A.R.2
-
38
-
-
0023171194
-
Instruction issue logic for high-performance, interruptable pipelined processors
-
G. S. Sohi and S. Vajapeyam. Instruction issue logic for high-performance, interruptable pipelined processors. In ISCA '87, pages 27-34.
-
ISCA '87
, pp. 27-34
-
-
Sohi, G.S.1
Vajapeyam, S.2
-
39
-
-
47349121297
-
-
Standard Performance Evaluation Corporation
-
Standard Performance Evaluation Corporation. SPEC CPU2006, 2006.
-
(2006)
SPEC CPU2006
-
-
-
40
-
-
77952256041
-
Taylor. Conservation cores: Reducing the energy of mature computations
-
G. Venkatesh, J. Sampson, N. Goulding, S. Garcia, V. Bryksin, J. Lugo-Martinez, S. Swanson, and M. B. Taylor. Conservation cores: reducing the energy of mature computations. In ASPLOS '10, pages 205-218.
-
ASPLOS '10
, pp. 205-218
-
-
Venkatesh, G.1
Sampson, J.2
Goulding, N.3
Garcia, S.4
Bryksin, V.5
Lugo-Martinez, J.6
Swanson, S.7
B, M.8
|