-
1
-
-
34547471544
-
Design tradeoffs for tiled cmp on-chip networks
-
New York, NY, USA, ACM
-
J. Balfour and W. J. Dally. Design tradeoffs for tiled cmp on-chip networks. In Proceedings of the 20th annual international conference on Supercomputing, ICS '06, pages 187-198, New York, NY, USA, 2006. ACM.
-
(2006)
Proceedings of the 20th Annual International Conference on Supercomputing, ICS '06
, pp. 187-198
-
-
Balfour, J.1
Dally, W.J.2
-
2
-
-
49549108733
-
Tile64 - Processor: A 64-core soc with mesh interconnect
-
feb
-
S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. MacKay, M. Reif, L. Bao, J. Brown, M. Mattina, C.-C. Miao, C. Ramey, D. Wentzlaff, W. Anderson, E. Berger, N. Fairbanks, D. Khan, F. Montenegro, J. Stickney, and J. Zook. Tile64 - processor: A 64-core soc with mesh interconnect. In Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International, pages 88-598, feb. 2008.
-
(2008)
Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International
, pp. 88-598
-
-
Bell, S.1
Edwards, B.2
Amann, J.3
Conlin, R.4
Joyce, K.5
Leung, V.6
MacKay, J.7
Reif, M.8
Bao, L.9
Brown, J.10
Mattina, M.11
Miao, C.-C.12
Ramey, C.13
Wentzlaff, D.14
Anderson, W.15
Berger, E.16
Fairbanks, N.17
Khan, D.18
Montenegro, F.19
Stickney, J.20
Zook, J.21
more..
-
4
-
-
0034848112
-
Route packets, not wires: On-chip inteconnection networks
-
New York, NY, USA, ACM
-
W. J. Dally and B. Towles. Route packets, not wires: On-chip inteconnection networks. In Proceedings of the 38th annual Design Automation Conference, DAC '01, pages 684-689, New York, NY, USA, 2001. ACM.
-
(2001)
Proceedings of the 38th Annual Design Automation Conference, DAC '01
, pp. 684-689
-
-
Dally, W.J.1
Towles, B.2
-
5
-
-
0000466264
-
Scalable pipelined interconnect for distributed endpoint routing: The sgi spider chip
-
M. Galles. Scalable pipelined interconnect for distributed endpoint routing: the sgi spider chip. In IEEE Hot Interconnects, 1996.
-
(1996)
IEEE Hot Interconnects
-
-
Galles, M.1
-
7
-
-
34547488868
-
Managing wire scaling: A circuit perspective
-
june
-
R. Ho, K. Mai, and M. Horowitz. Managing wire scaling: a circuit perspective. In Interconnect Technology Conference, 2003. Proceedings of the IEEE 2003 International, pages 177-179, june 2003.
-
(2003)
Interconnect Technology Conference, 2003. Proceedings of the IEEE 2003 International
, pp. 177-179
-
-
Ho, R.1
Mai, K.2
Horowitz, M.3
-
8
-
-
36849022584
-
A 5-ghz mesh interconnect for a teraflops processor
-
sept.-oct.
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-ghz mesh interconnect for a teraflops processor. Micro, IEEE, 27(5):51-61, sept.-oct. 2007.
-
(2007)
Micro, IEEE
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
9
-
-
78650922410
-
A 48-core ia-32 processor in 45 nm cmos using on-die message-passing and dvfs for performance and power scaling
-
jan.
-
J. Howard, S. Dighe, S. Vangal, G. Ruhl, N. Borkar, S. Jain, V. Erraguntla, M. Konow, M. Riepen, M. Gries, G. Droege, T. Lund-Larsen, S. Steibl, S. Borkar, V. De, and R. Van Der Wijngaart. A 48-core ia-32 processor in 45 nm cmos using on-die message-passing and dvfs for performance and power scaling. Solid-State Circuits, IEEE Journal of, 46(1):173-183, jan. 2011.
-
(2011)
Solid-State Circuits, IEEE Journal of
, vol.46
, Issue.1
, pp. 173-183
-
-
Howard, J.1
Dighe, S.2
Vangal, S.3
Ruhl, G.4
Borkar, N.5
Jain, S.6
Erraguntla, V.7
Konow, M.8
Riepen, M.9
Gries, M.10
Droege, G.11
Lund-Larsen, T.12
Steibl, S.13
Borkar, S.14
De, V.15
Van Der Wijngaart, R.16
-
10
-
-
47349129525
-
Flattened butterfly topology for on-chip networks
-
0
-
J. Kim, J. Balfour, and W. Dally. Flattened butterfly topology for on-chip networks. Microarchitecture, IEEE/ACM International Symposium on, 0:172-182, 2007.
-
(2007)
Microarchitecture, IEEE/ACM International Symposium on
, pp. 172-182
-
-
Kim, J.1
Balfour, J.2
Dally, W.3
-
12
-
-
52949114554
-
A 4.6tbits/s 3.6ghz single-cycle noc router with a novel switch allocator
-
A. Kumar, P. Kundu, A. P. Singh, L. shiuan Peh, and N. K. Jha. A 4.6tbits/s 3.6ghz single-cycle noc router with a novel switch allocator. In in 65nm CMOS, â Ǎ İ ICCD-2007, 2007.
-
65nm CMOS, Â Ǎ İ ICCD-2007, 2007
-
-
Kumar, A.1
Kundu, P.2
Singh, A.P.3
Shiuan Peh, L.4
Jha, N.K.5
-
13
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
New York, NY, USA, ACM
-
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha. Express virtual channels: towards the ideal interconnection fabric. In Proceedings of the 34th annual international symposium on Computer architecture, ISCA '07, pages 150-161, New York, NY, USA, 2007. ACM.
-
(2007)
Proceedings of the 34th Annual International Symposium on Computer Architecture, ISCA '07
, pp. 150-161
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
14
-
-
79951719950
-
Probabilistic distance-based arbitration: Providing equality of service for many-core cmps
-
Washington, DC, USA, IEEE Computer Society
-
M. M. Lee, J. Kim, D. Abts, M. Marty, and J. W. Lee. Probabilistic distance-based arbitration: Providing equality of service for many-core cmps. In Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO '43, pages 509-519, Washington, DC, USA, 2010. IEEE Computer Society.
-
(2010)
Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO '43
, pp. 509-519
-
-
Lee, M.M.1
Kim, J.2
Abts, D.3
Marty, M.4
Lee, J.W.5
-
15
-
-
0028377540
-
On the self-similar nature of ethernet traffic
-
extended version. feb
-
W. Leland, M. Taqqu, W. Willinger, and D. Wilson. On the self-similar nature of ethernet traffic (extended version). Networking, IEEE/ACM Transactions on, 2(1):1-15, feb 1994.
-
(1994)
Networking, IEEE/ACM Transactions on
, vol.2
, Issue.1
, pp. 1-15
-
-
Leland, W.1
Taqqu, M.2
Willinger, W.3
Wilson, D.4
-
16
-
-
79955487037
-
Prediction router: A low-latency on-chip router architecture with multiple predictors
-
june
-
H. Matsutani, M. Koibuchi, H. Amano, and T. Yoshinaga. Prediction router: A low-latency on-chip router architecture with multiple predictors. Computers, IEEE Transactions on, 60(6):783-799, june 2011.
-
(2011)
Computers, IEEE Transactions on
, vol.60
, Issue.6
, pp. 783-799
-
-
Matsutani, H.1
Koibuchi, M.2
Amano, H.3
Yoshinaga, T.4
-
17
-
-
64949139014
-
Elastic-buffer flow control for on-chip networks
-
feb.
-
G. Michelogiannakis, J. Balfour, and W. Dally. Elastic-buffer flow control for on-chip networks. In High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on, pages 151-162, feb. 2009.
-
(2009)
High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on
, pp. 151-162
-
-
Michelogiannakis, G.1
Balfour, J.2
Dally, W.3
-
18
-
-
77955102506
-
Evaluating bufferless flow control for on-chip networks
-
Washington, DC, USA, IEEE Computer Society
-
G. Michelogiannakis, D. Sanchez, W. J. Dally, and C. Kozyrakis. Evaluating bufferless flow control for on-chip networks. In Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, NOCS '10, pages 9-16, Washington, DC, USA, 2010. IEEE Computer Society.
-
(2010)
Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, NOCS '10
, pp. 9-16
-
-
Michelogiannakis, G.1
Sanchez, D.2
Dally, W.J.3
Kozyrakis, C.4
-
19
-
-
70450255432
-
A case for bufferless routing in on-chip networks
-
New York, NY, USA, ACM
-
T. Moscibroda and O. Mutlu. A case for bufferless routing in on-chip networks. In Proceedings of the 36th annual international symposium on Computer architecture, ISCA '09, pages 196-207, New York, NY, USA, 2009. ACM.
-
(2009)
Proceedings of the 36th Annual International Symposium on Computer Architecture, ISCA '09
, pp. 196-207
-
-
Moscibroda, T.1
Mutlu, O.2
-
20
-
-
0442295641
-
A global interconnect optimization scheme for nanometer scale vlsi with implications for latency, bandwidth, and power dissipation
-
feb.
-
M. L. Mui, K. Banerjee, and A. Mehrotra. A global interconnect optimization scheme for nanometer scale vlsi with implications for latency, bandwidth, and power dissipation. Electron Devices, IEEE Transactions on, 51(2):195-203, feb. 2004.
-
(2004)
Electron Devices, IEEE Transactions on
, vol.51
, Issue.2
, pp. 195-203
-
-
Mui, M.L.1
Banerjee, K.2
Mehrotra, A.3
-
21
-
-
4644301652
-
Low-latency virtual-channel routers for on-chip networks
-
Washington, DC, USA, IEEE Computer Society
-
R. Mullins, A. West, and S. Moore. Low-latency virtual-channel routers for on-chip networks. In Proceedings of the 31st annual international symposium on Computer architecture, ISCA '04, pages 188-, Washington, DC, USA, 2004. IEEE Computer Society.
-
(2004)
Proceedings of the 31st Annual International Symposium on Computer Architecture, ISCA '04
, pp. 188
-
-
Mullins, R.1
West, A.2
Moore, S.3
-
22
-
-
33748622010
-
The design and implementation of a low-latency on-chip network
-
Piscataway, NJ, USA, IEEE Press
-
R. Mullins, A. West, and S. Moore. The design and implementation of a low-latency on-chip network. In Proceedings of the 2006 Asia and South Pacific Design Automation Conference, ASP-DAC '06, pages 164-169, Piscataway, NJ, USA, 2006. IEEE Press.
-
(2006)
Proceedings of the 2006 Asia and South Pacific Design Automation Conference, ASP-DAC '06
, pp. 164-169
-
-
Mullins, R.1
West, A.2
Moore, S.3
-
23
-
-
0034818435
-
A delay model and speculative architecture for pipelined routers
-
Washington, DC, USA, IEEE Computer Society
-
L.-S. Peh and W. J. Dally. A delay model and speculative architecture for pipelined routers. In Proceedings of the 7th International Symposium on High-Performance Computer Architecture, HPCA '01, pages 255-, Washington, DC, USA, 2001. IEEE Computer Society.
-
(2001)
Proceedings of the 7th International Symposium on High-Performance Computer Architecture, HPCA '01
, pp. 255
-
-
Peh, L.-S.1
Dally, W.J.2
-
26
-
-
85008053864
-
An 80-tile sub-100-w teraflops processor in 65-nm cmos
-
jan.
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar. An 80-tile sub-100-w teraflops processor in 65-nm cmos. Solid-State Circuits, IEEE Journal of, 43(1):29-41, jan. 2008.
-
(2008)
Solid-State Circuits, IEEE Journal of
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Singh, A.8
Jacob, T.9
Jain, S.10
Erraguntla, V.11
Roberts, C.12
Hoskote, Y.13
Borkar, N.14
Borkar, S.15
-
27
-
-
36849030305
-
On-chip interconnection architecture of the tile processor
-
sept.-oct.
-
D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards, C. Ramey, M. Mattina, C.-C. Miao, J. Brown, and A. Agarwal. On-chip interconnection architecture of the tile processor. Micro, IEEE, 27(5):15-31, sept.-oct. 2007.
-
(2007)
Micro, IEEE
, vol.27
, Issue.5
, pp. 15-31
-
-
Wentzlaff, D.1
Griffin, P.2
Hoffmann, H.3
Bao, L.4
Edwards, B.5
Ramey, C.6
Mattina, M.7
Miao, C.-C.8
Brown, J.9
Agarwal, A.10
-
28
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
S. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the International Symposium on Computer Architecture, June 1995.
-
Proceedings of the International Symposium on Computer Architecture, June 1995
-
-
Woo, S.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
29
-
-
77952943435
-
Virtual channels vs. multiple physical networks: A comparative analysis
-
New York, NY, USA, ACM
-
Y. J. Yoon, N. Concer, M. Petracca, and L. Carloni. Virtual channels vs. multiple physical networks: a comparative analysis. In Proceedings of the 47th Design Automation Conference, DAC '10, pages 162-165, New York, NY, USA, 2010. ACM.
-
(2010)
Proceedings of the 47th Design Automation Conference, DAC '10
, pp. 162-165
-
-
Yoon, Y.J.1
Concer, N.2
Petracca, M.3
Carloni, L.4
-
30
-
-
46449109121
-
Predictive switching in 2-d torus routers
-
jan.
-
T. Yoshinaga, S. Kamakura, and M. Koibuchi. Predictive switching in 2-d torus routers. In Innovative Architecture for Future Generation High Performance Processors and Systems, 2006. IWIA '06. International Workshop on, pages 65-72, jan. 2006.
-
(2006)
Innovative Architecture for Future Generation High Performance Processors and Systems, 2006. IWIA '06. International Workshop on
, pp. 65-72
-
-
Yoshinaga, T.1
Kamakura, S.2
Koibuchi, M.3
-
31
-
-
76749123978
-
Complexity effective memory access scheduling for many-core accelerator architectures
-
New York, NY, USA, ACM
-
G. L. Yuan, A. Bakhoda, and T. M. Aamodt. Complexity effective memory access scheduling for many-core accelerator architectures. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 42, pages 34-44, New York, NY, USA, 2009. ACM.
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 42
, pp. 34-44
-
-
Yuan, G.L.1
Bakhoda, A.2
Aamodt, T.M.3
|