메뉴 건너뛰기




Volumn , Issue , 2011, Pages 413-424

DBAR: An efficient routing algorithm to support multiple concurrent applications in networks-on-chip

Author keywords

Networks on chip; Routing algorithm; Workload consolidation

Indexed keywords

ADAPTIVE ROUTING; ADAPTIVE ROUTING ALGORITHM; ADAPTIVITY; CONGESTION PROPAGATION; DYNAMIC ISOLATION; EFFICIENT ROUTING; ENERGY DELAY PRODUCT; HIGH INJECTION; MANY-CORE ARCHITECTURE; MULTIPLE APPLICATIONS; NEIGHBORING NODES; NETWORK CONGESTIONS; NETWORK INFORMATION; NETWORK STATUS; NETWORKS ON CHIPS; NONLOCAL; OUTPUT PORTS; SELECTION FUNCTION; WORKLOAD CONSOLIDATION;

EID: 80052533252     PISSN: 10636897     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1145/2000064.2000113     Document Type: Conference Paper
Times cited : (171)

References (40)
  • 1
    • 44049086778 scopus 로고    scopus 로고
    • Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip
    • June
    • G. Ascia, V. Catania, M. Palesi, and D. Patti. Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. Computers, IEEE Transactions on, 57(6):809-820, June 2008.
    • (2008) Computers, IEEE Transactions on , vol.57 , Issue.6 , pp. 809-820
    • Ascia, G.1    Catania, V.2    Palesi, M.3    Patti, D.4
  • 2
    • 49549108733 scopus 로고    scopus 로고
    • TILE64-processor: A 64-core SoC with mesh interconnect
    • February
    • S. Bell et al. TILE64-processor: A 64-core SoC with mesh interconnect. In ISSCC 2008, pages 88-598, February 2008.
    • (2008) ISSCC 2008 , pp. 88-598
    • Bell, S.1
  • 6
    • 0023346637 scopus 로고
    • Deadlock-free message routing in multiprocessor interconnection networks
    • May
    • W. Dally and C. Seitz. Deadlock-free message routing in multiprocessor interconnection networks. Computers, IEEE Transactions on, C-36(5):547-553, May 1987.
    • (1987) Computers, IEEE Transactions on , vol.C-36 , Issue.5 , pp. 547-553
    • Dally, W.1    Seitz, C.2
  • 7
    • 0034848112 scopus 로고    scopus 로고
    • Route packets, not wires: On-chip interconnection networks
    • May
    • W. Dally and B. Towles. Route packets, not wires: on-chip interconnection networks. In DAC 2001, pages 684-689, May 2001.
    • (2001) DAC 2001 , pp. 684-689
    • Dally, W.1    Towles, B.2
  • 9
    • 0027579765 scopus 로고
    • Deadlock-free adaptive routing in multi-computer networks using virtual channels
    • April
    • W. J. Dally and H. Aoki. Deadlock-free adaptive routing in multi-computer networks using virtual channels. Parallel and Distributed Systems, IEEE Transactions on, 4:466-475, April 1993.
    • (1993) Parallel and Distributed Systems, IEEE Transactions on , vol.4 , pp. 466-475
    • Dally, W.J.1    Aoki, H.2
  • 10
    • 0027837827 scopus 로고
    • A new theory of deadlock-free adaptive routing in wormhole networks
    • December
    • J. Duato. A new theory of deadlock-free adaptive routing in wormhole networks. Parallel and Distributed Systems, IEEE Transactions on, 4(12):1320-1331, December 1993.
    • (1993) Parallel and Distributed Systems, IEEE Transactions on , vol.4 , Issue.12 , pp. 1320-1331
    • Duato, J.1
  • 11
    • 0029390484 scopus 로고
    • A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks
    • October
    • J. Duato. A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks. Parallel and Distributed Systems, IEEE Transactions on, 6(10):1055-1067, October 1995.
    • (1995) Parallel and Distributed Systems, IEEE Transactions on , vol.6 , Issue.10 , pp. 1055-1067
    • Duato, J.1
  • 12
    • 0030215168 scopus 로고    scopus 로고
    • A necessary and sufficient condition for deadlock-free routing in cut-through and store-and-forward networks
    • August
    • J. Duato. A necessary and sufficient condition for deadlock-free routing in cut-through and store-and-forward networks. Parallel and Distributed Systems, IEEE Transactions on, 7(8):841-854, August 1996.
    • (1996) Parallel and Distributed Systems, IEEE Transactions on , vol.7 , Issue.8 , pp. 841-854
    • Duato, J.1
  • 13
    • 78650376984 scopus 로고    scopus 로고
    • Morgan and Claypool Publishers, San Francisco, CA, USA, 1 edition
    • N. Enright Jerger and L. Peh. On-Chip Networks. Morgan and Claypool Publishers, San Francisco, CA, USA, 1 edition, 2009.
    • (2009) On-Chip Networks
    • Enright Jerger, N.1    Peh, L.2
  • 14
    • 0030689539 scopus 로고    scopus 로고
    • Impact of selection functions on routing algorithm performance in multi-computer networks
    • July
    • W.-C. Feng and K. G. Shin. Impact of selection functions on routing algorithm performance in multi-computer networks. In ICS 1997, pages 132-139, July 1997.
    • (1997) ICS 1997 , pp. 132-139
    • Feng, W.-C.1    Shin, K.G.2
  • 15
    • 0030819327 scopus 로고    scopus 로고
    • Spider: A high-speed network interconnect
    • January-February
    • M. Galles. Spider: a high-speed network interconnect. Micro, IEEE, 17(1):34-39, January-February 1997.
    • (1997) Micro, IEEE , vol.17 , Issue.1 , pp. 34-39
    • Galles, M.1
  • 16
    • 0026867329 scopus 로고
    • The turn model for adaptive routing
    • June
    • C. Glass and L. Ni. The turn model for adaptive routing. In ISCA 1992, pages 278-287, June 1992.
    • (1992) ISCA 1992 , pp. 278-287
    • Glass, C.1    Ni, L.2
  • 17
    • 57749191721 scopus 로고    scopus 로고
    • Regional congestion awareness for load balance in networks-on-chip
    • February
    • P. Gratz, B. Grot, and S. Keckler. Regional congestion awareness for load balance in networks-on-chip. In HPCA 2008, pages 203-214, February 2008.
    • (2008) HPCA 2008 , pp. 203-214
    • Gratz, P.1    Grot, B.2    Keckler, S.3
  • 19
    • 36849022584 scopus 로고    scopus 로고
    • A 5-GHz mesh interconnect for a Teraflops processor
    • September-October
    • Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-GHz mesh interconnect for a Teraflops processor. Micro, IEEE, 27(5):51-61, September-October 2007.
    • (2007) Micro, IEEE , vol.27 , Issue.5 , pp. 51-61
    • Hoskote, Y.1    Vangal, S.2    Singh, A.3    Borkar, N.4    Borkar, S.5
  • 20
    • 4444324957 scopus 로고    scopus 로고
    • DyAD-smart routing for networks-on-chip
    • June
    • J. Hu and R. Marculescu. DyAD-smart routing for networks-on-chip. In DAC 2004, pages 260-263, June 2004.
    • (2004) DAC 2004 , pp. 260-263
    • Hu, J.1    Marculescu, R.2
  • 23
    • 0023670354 scopus 로고
    • Input versus output queueing on a space-division packet switch
    • December
    • M. Karol, M. Hluchyj, and S. Morgan. Input versus output queueing on a space-division packet switch. Communications, IEEE Transactions on, 35(12):1347-1356, December 1987.
    • (1987) Communications, IEEE Transactions on , vol.35 , Issue.12 , pp. 1347-1356
    • Karol, M.1    Hluchyj, M.2    Morgan, S.3
  • 24
    • 27944435722 scopus 로고    scopus 로고
    • A low latency router supporting adaptivity for on-chip interconnects
    • June
    • J. Kim, D. Park, T. Theocharides, N. Vijaykrishnan, and C. Das. A low latency router supporting adaptivity for on-chip interconnects. In DAC 2005, pages 559-564, June 2005.
    • (2005) DAC 2005 , pp. 559-564
    • Kim, J.1    Park, D.2    Theocharides, T.3    Vijaykrishnan, N.4    Das, C.5
  • 25
    • 52949114554 scopus 로고    scopus 로고
    • A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS
    • October
    • A. Kumar, P. Kundu, A. Singh, L.-S. Peh, and N. Jha. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. In ICCD 2007, pages 63-70, October 2007.
    • (2007) ICCD 2007 , pp. 63-70
    • Kumar, A.1    Kundu, P.2    Singh, A.3    Peh, L.-S.4    Jha, N.5
  • 26
    • 84944322013 scopus 로고    scopus 로고
    • A two-step genetic algorithm for mapping task graphs to a network on chip architecture
    • September
    • T. Lei and S. Kumar. A two-step genetic algorithm for mapping task graphs to a network on chip architecture. In DSD 2003, pages 180-187, September 2003.
    • (2003) DSD 2003 , pp. 180-187
    • Lei, T.1    Kumar, S.2
  • 27
    • 34547144376 scopus 로고    scopus 로고
    • DyXY-a proximity congestion-aware deadlock-free dynamic routing method for network on chip
    • June
    • M. Li, Q.-A. Zeng, and W.-B. Jone. DyXY-a proximity congestion-aware deadlock-free dynamic routing method for network on chip. In DAC 2006, pages 849-852, June 2006.
    • (2006) DAC 2006 , pp. 849-852
    • Li, M.1    Zeng, Q.-A.2    Jone, W.-B.3
  • 28
    • 36849096008 scopus 로고    scopus 로고
    • Architecture of the scalable communications core's network on chip
    • September-October
    • D. llitzky, J. Hoffman, A. Chun, and B. Esparza. Architecture of the scalable communications core's network on chip. Micro, IEEE, 27(5):62-74, September-October 2007.
    • (2007) Micro, IEEE , vol.27 , Issue.5 , pp. 62-74
    • Llitzky, D.1    Hoffman, J.2    Chun, A.3    Esparza, B.4
  • 29
    • 84944064592 scopus 로고    scopus 로고
    • On the influence of the selection function on the performance of networks of workstations
    • October
    • J. C. Martínez, F. Silla, P. López, and J. Duato. On the influence of the selection function on the performance of networks of workstations. In ISHPC 2000, pages 292-299, October 2000.
    • (2000) ISHPC 2000 , pp. 292-299
    • Martínez, J.C.1    Silla, F.2    López, P.3    Duato, J.4
  • 30
  • 31
    • 52649119398 scopus 로고    scopus 로고
    • Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems
    • June
    • O. Mutlu and T. Moscibroda. Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems. In ISCA 2008, pages 63-74, June 2008.
    • (2008) ISCA 2008 , pp. 63-74
    • Mutlu, O.1    Moscibroda, T.2
  • 32
    • 0034818435 scopus 로고    scopus 로고
    • A delay model and speculative architecture for pipelined routers
    • May
    • L.-S. Peh and W. Dally. A delay model and speculative architecture for pipelined routers. In HPCA 2001, pages 255-266, May 2001.
    • (2001) HPCA 2001 , pp. 255-266
    • Peh, L.-S.1    Dally, W.2
  • 33
    • 78650500952 scopus 로고    scopus 로고
    • Destination-based adaptive routing on 2D mesh networks
    • October 25-26
    • R. S. Ramanujam and B. Lin. Destination-based adaptive routing on 2D mesh networks. In ANCS 2010, pages 19:1-19:12, October 25-26 2010.
    • (2010) ANCS 2010 , pp. 191-192
    • Ramanujam, R.S.1    Lin, B.2
  • 34
    • 66749138110 scopus 로고    scopus 로고
    • Efficient unicast and multicast support for CMPs
    • November
    • S. Rodrigo, J. Flich, J. Duato, and M. Hummel. Efficient unicast and multicast support for CMPs. In MICRO 2008, pages 364-375, November 2008.
    • (2008) MICRO 2008 , pp. 364-375
    • Rodrigo, S.1    Flich, J.2    Duato, J.3    Hummel, M.4
  • 35
    • 0036326262 scopus 로고    scopus 로고
    • Performance tuning of adaptive wormhole routing through selection function choice
    • July
    • L. Schwiebert and R. Bell. Performance tuning of adaptive wormhole routing through selection function choice. J. Parallel Distrib. Comput., 62:1121-1141, July 2002.
    • (2002) J. Parallel Distrib. Comput. , vol.62 , pp. 1121-1141
    • Schwiebert, L.1    Bell, R.2
  • 36
    • 0038682449 scopus 로고    scopus 로고
    • GOAL: A load-balanced adaptive routing algorithm for torus networks
    • June
    • A. Singh, W. Dally, A. Gupta, and B. Towles. GOAL: a load-balanced adaptive routing algorithm for torus networks. In ISCA 2003, pages 194-205, June 2003.
    • (2003) ISCA 2003 , pp. 194-205
    • Singh, A.1    Dally, W.2    Gupta, A.3    Towles, B.4
  • 37
    • 80052537896 scopus 로고    scopus 로고
    • SPEC. SPEC benchmarks. http://www.spec.org, 2009.
    • (2009) SPEC Benchmarks
  • 38
    • 80052553547 scopus 로고    scopus 로고
    • TPC. TPC benchmarks. http://www.tpc.org, 2008.
    • (2008) TPC Benchmarks
  • 39
    • 0029179077 scopus 로고
    • The SPLASH-2 programs: Characterization and methodological considerations
    • June
    • S. Woo, M. Ohara, E. Torrie, J. Singh, and A. Gupta. The SPLASH-2 programs: characterization and methodological considerations. In ISCA 1995, pages 24-36, June 1995.
    • (1995) ISCA 1995 , pp. 24-36
    • Woo, S.1    Ohara, M.2    Torrie, E.3    Singh, J.4    Gupta, A.5
  • 40
    • 77952248898 scopus 로고    scopus 로고
    • Addressing shared resource contention in multicore processors via scheduling
    • March
    • S. Zhuravlev, S. Blagodurov, and A. Fedorova. Addressing shared resource contention in multicore processors via scheduling. In ASPLOS 2010, pages 129-142, March 2010.
    • (2010) ASPLOS 2010 , pp. 129-142
    • Zhuravlev, S.1    Blagodurov, S.2    Fedorova, A.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.