-
1
-
-
0026267802
-
An effective on-chip preloading scheme to reduce data access penalty
-
J. Baer and T. Chen. An effective on-chip preloading scheme to reduce data access penalty. In Proceedings of Supercomputing '91, 1991.
-
(1991)
Proceedings of Supercomputing '
, vol.91
-
-
Baer, J.1
Chen, T.2
-
3
-
-
76749124429
-
Application-aware prioritization mechanisms for on-chip networks
-
R. Das et al. Application-aware prioritization mechanisms for on-chip networks. In MICRO-42, 2009.
-
(2009)
MICRO
, vol.42
-
-
Das, R.1
-
4
-
-
77954985868
-
Aergia: Exploiting packet latency slack in on-chip networks
-
R. Das et al. Aergia: Exploiting packet latency slack in on-chip networks. In ISCA-37, 2010.
-
(2010)
ISCA
, vol.37
-
-
Das, R.1
-
6
-
-
76749142994
-
Coordinated control of multiple prefetchers in multi-core systems
-
E. Ebrahimi et al. Coordinated control of multiple prefetchers in multi-core systems. In MICRO-42, 2009.
-
(2009)
MICRO
, vol.42
-
-
Ebrahimi, E.1
-
8
-
-
77952285828
-
Fairness via source throttling: A configrable and high-performance fairness substrate for multi-core memory systems
-
E. Ebrahimi et al. Fairness via source throttling: A configrable and high-performance fairness substrate for multi-core memory systems. In ASPLOS-XV, 2010.
-
(2010)
ASPLOS-XV
-
-
Ebrahimi, E.1
-
10
-
-
40349114891
-
Fairness and throughput in switch on even multithreading
-
R. Gabor et al. Fairness and throughput in switch on even multithreading. In MICRO-39, 2006.
-
(2006)
MICRO
, vol.39
-
-
Gabor, R.1
-
11
-
-
76749160934
-
Preemptive virtual clock: A flexible, efficient, and cost-effective QoS scheme for networks-on-a-chip
-
B. Grot et al. Preemptive virtual clock: A flexible, efficient, and cost-effective QoS scheme for networks-on-a-chip. In MICRO-42, 2009.
-
(2009)
MICRO
, vol.42
-
-
Grot, B.1
-
12
-
-
34247143442
-
Communist, utilitarian, and capitalist cache policies on CMPs: Caches as a shared resource
-
L. R. Hsu et al. Communist, utilitarian, and capitalist cache policies on CMPs: caches as a shared resource. In PACT-15, 2006.
-
(2006)
PACT
, vol.15
-
-
Hsu, L.R.1
-
13
-
-
47349095214
-
QoS policies and architecture for cache/memory in CMP platforms
-
R. Iyer et al. QoS policies and architecture for cache/memory in CMP platforms. In SIGMETRICS '07.
-
SIGMETRICS '07
-
-
Iyer, R.1
-
14
-
-
10444238444
-
Fair cache sharing and partitioning in a chip multiprocessor architecture
-
S. Kim et al. Fair cache sharing and partitioning in a chip multiprocessor architecture. In PACT-13, 2004.
-
(2004)
PACT
, vol.13
-
-
Kim, S.1
-
15
-
-
77952558442
-
ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers
-
Y. Kim et al. ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers. In HPCA-16, 2010.
-
(2010)
HPCA
, vol.16
-
-
Kim, Y.1
-
16
-
-
79951718838
-
Thread cluster memory scheduling: Exploiting differences in memory access behavior
-
Y. Kim et al. Thread cluster memory scheduling: Exploiting differences in memory access behavior. In MICRO-43, 2010.
-
(2010)
MICRO
, vol.43
-
-
Kim, Y.1
-
18
-
-
66749189125
-
Prefetch-aware DRAM controllers
-
C. J. Lee et al. Prefetch-aware DRAM controllers. In MICRO-41, 2008.
-
(2008)
MICRO
, vol.41
-
-
Lee, C.J.1
-
19
-
-
76749092678
-
Improving memory bank-level parallelism in the presence of prefetching
-
C. J. Lee et al. Improving memory bank-level parallelism in the presence of prefetching. In MICRO-42, 2009.
-
(2009)
MICRO
, vol.42
-
-
Lee, C.J.1
-
20
-
-
52649094492
-
Globally-synchronized frames for guaranteed quality-of-service in on-chip networks
-
J. W. Lee et al. Globally-synchronized frames for guaranteed quality-of-service in on-chip networks. In ISCA-35, 2008.
-
(2008)
ISCA
, vol.35
-
-
Lee, J.W.1
-
22
-
-
84962144701
-
Balancing throughput and fairness in SMT processors
-
K. Luo et al. Balancing throughput and fairness in SMT processors. In ISPASS, 2001.
-
(2001)
ISPASS
-
-
Luo, K.1
-
23
-
-
80052548158
-
-
MT41J512M4-64 Meg x4 x 8 banks
-
Micron. Datasheet: 2Gb DDR3 SDRAM, MT41J512M4-64 Meg x4 x 8 banks, http://download.micron.com/pdf/datasheets/dram/ddr3.
-
Micron. Datasheet: 2Gb DDR3 SDRAM
-
-
-
24
-
-
52649128991
-
Memory performance attacks: Denial of memory service in multi-core systems
-
T. Moscibroda and O. Mutlu. Memory performance attacks: Denial of memory service in multi-core systems. In USENIX Security, 2007.
-
(2007)
USENIX Security
-
-
Moscibroda, T.1
Mutlu, O.2
-
25
-
-
47349122373
-
Stall-time fair memory access scheduling for chip multiprocessors
-
O. Mutlu and T. Moscibroda. Stall-time fair memory access scheduling for chip multiprocessors. In MICRO-40, 2007.
-
(2007)
MICRO
, vol.40
-
-
Mutlu, O.1
Moscibroda, T.2
-
26
-
-
52649119398
-
Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems
-
O. Mutlu and T. Moscibroda. Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems. In ISCA-35, 2008.
-
(2008)
ISCA
, vol.35
-
-
Mutlu, O.1
Moscibroda, T.2
-
27
-
-
80052539868
-
Virtual private caches
-
K. J. Nesbit et al. Virtual private caches. In ISCA-34.
-
ISCA
, vol.34
-
-
Nesbit, K.J.1
-
28
-
-
10444284911
-
AC/DC: An adaptive data cache prefetcher
-
K. J. Nesbit et al. AC/DC: An adaptive data cache prefetcher. In PACT-13, 2004.
-
(2004)
PACT
, vol.13
-
-
Nesbit, K.J.1
-
29
-
-
34548050337
-
Fair queuing memory systems
-
K. J. Nesbit et al. Fair queuing memory systems. In MICRO-39, 2006.
-
(2006)
MICRO
, vol.39
-
-
Nesbit, K.J.1
-
30
-
-
44849126070
-
Northbridge architecture of AMD's Griffin microprocessor family
-
J. Owen and M. Steinman. Northbridge architecture of AMD's Griffin microprocessor family. IEEE Micro, 28(2), 2008.
-
(2008)
IEEE Micro
, vol.28
, Issue.2
-
-
Owen, J.1
Steinman, M.2
-
31
-
-
21644454187
-
Pinpointing representative portions of large Intel Itanium programs with dynamic instrumentation
-
H. Patil et al. Pinpointing representative portions of large Intel Itanium programs with dynamic instrumentation. In MICRO-37, 2004.
-
(2004)
MICRO
, vol.37
-
-
Patil, H.1
-
32
-
-
34548042910
-
Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
-
M. K. Qureshi and Y. N. Patt. Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In MICRO-39, 2006.
-
(2006)
MICRO
, vol.39
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
33
-
-
34247108325
-
Architectural support for operating system-driven CMP cache management
-
N. Rafique et al. Architectural support for operating system-driven CMP cache management. In PACT-15, 2006.
-
(2006)
PACT
, vol.15
-
-
Rafique, N.1
-
34
-
-
0033691565
-
Memory access scheduling
-
S. Rixner et al. Memory access scheduling. In ISCA-27, 2000.
-
(2000)
ISCA
, vol.27
-
-
Rixner, S.1
-
35
-
-
0034443570
-
Symbiotic job scheduling for a simultaneous multithreading processor
-
A. Snavely and D. M. Tullsen. Symbiotic job scheduling for a simultaneous multithreading processor. In ASPLOS-IX, 2000.
-
(2000)
ASPLOS-IX
-
-
Snavely, A.1
Tullsen, D.M.2
-
36
-
-
34547655822
-
Feedback directed prefetching: Improving the performance and bandwidth-efficiency of hardware prefetchers
-
S. Srinath et al. Feedback directed prefetching: Improving the performance and bandwidth-efficiency of hardware prefetchers. In HPCA-13, 2007.
-
(2007)
HPCA
, vol.13
-
-
Srinath, S.1
-
39
-
-
84944748972
-
A hardware-based cache pollution filtering mechanism for aggressive prefetches
-
X. Zhuang and H.-H. S. Lee. A hardware-based cache pollution filtering mechanism for aggressive prefetches. In ICPP-32, 2003.
-
(2003)
ICPP
, vol.32
-
-
Zhuang, X.1
Lee, H.-H.S.2
|