-
2
-
-
70449473258
-
A reconfigurable 8T ultra-dynamic voltage scalable (U-DVS) SRAM in 65 nm CMOS
-
M.E. Sinangil, N. Verma, and A.P. Chandrakasan, "A Reconfigurable 8T Ultra-Dynamic Voltage Scalable (U-DVS) SRAM in 65 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, no. 11, 2009, pp. 3163-3173.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.11
, pp. 3163-3173
-
-
Sinangil, M.E.1
Verma, N.2
Chandrakasan, A.P.3
-
3
-
-
58149234982
-
A 65 nm sub-vt microcontroller with integrated SRAM and switched capacitor DC-DC converter
-
J. Kwong et al., "A 65 nm Sub-Vt Microcontroller with Integrated SRAM and Switched Capacitor DC-DC Converter," IEEE J. Solid-State Circuits, vol. 44, no. 1, 2009, pp. 115-126.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.1
, pp. 115-126
-
-
Kwong, J.1
-
4
-
-
31344473488
-
A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications
-
DOI 10.1109/JSSC.2005.859030
-
J. Kwong et al., "A 65 nm Sub-Vt Microcontroller with Integrated SRAM and Switched Capacitor DC-DC Converter," IEEE J. Solid-State Circuits, vol. 44, no. 1, 2009, pp. 115-126. (Pubitemid 43145968)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 113-121
-
-
Takeda, K.1
Hagihara, Y.2
Aimoto, Y.3
Nomura, M.4
Nakazawa, Y.5
Ishii, T.6
Kobatake, H.7
-
5
-
-
33644640188
-
Stable SRAM cell design for the 32 nm node and beyond
-
DOI 10.1109/.2005.1469239, 1469239, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
L. Chang et al., "Stable SRAM Cell Design for the 32 nm Node and Beyond," Proc. Symp. VLSI Tech., IEEE Press, 2005, pp. 128-129. (Pubitemid 43897595)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 128-129
-
-
Chang, L.1
Fried, D.M.2
Hergenrother, J.3
Sleight, J.W.4
Dennard, R.H.5
Montoye, R.K.6
Sekaric, L.7
McNab, S.J.8
Topol, A.W.9
Adams, C.D.10
Guarini, K.W.11
Haensch, W.12
-
6
-
-
33847724635
-
A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation
-
DOI 10.1109/JSSC.2006.891726
-
B.H. Calhoun and A.P. Chandrakasan, "A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation," IEEE J. Solid-State Circuits, vol. 42, no. 3, 2007, pp. 680-688. (Pubitemid 46376044)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.3
, pp. 680-688
-
-
Calhoun, B.H.1
Chandrakasan, A.P.2
-
7
-
-
59349118349
-
A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
-
I.J. Chang et al., "A 32 kb 10T Sub-threshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, no. 2, 2009, pp. 650-658.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.2
, pp. 650-658
-
-
Chang, I.J.1
-
8
-
-
33750600861
-
New generation of predictive technology model for sub-45 nm early design exploration
-
DOI 10.1109/TED.2006.884077
-
W. Zhao and Y. Cao, "New Generation of Predictive Technology Model for Sub-45 nm Design Exploration," IEEE Trans. Electron Devices, vol. 53, no. 11, 2006, pp. 2816-2823. (Pubitemid 44680679)
-
(2006)
IEEE Transactions on Electron Devices
, vol.53
, Issue.11
, pp. 2816-2823
-
-
Zhao, W.1
Cao, Y.2
-
9
-
-
41549168299
-
Reducing variation in advanced logic technologies: Approaches to process and design for manufacturability of nanoscale CMOS
-
IEEE Press
-
K.J. Kuhn, "Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS," Proc. IEEE Int'l Electron Devices Meeting (IEDM 07), IEEE Press, 2007, pp. 471-474.
-
(2007)
Proc. IEEE Int'l Electron Devices Meeting (IEDM 07)
, pp. 471-474
-
-
Kuhn, K.J.1
-
10
-
-
0034785079
-
Scaling trends of cosmic rays induced soft errors in static latches beyond 0.18
-
T. Karnik et al., "Scaling Trends of Cosmic Ray Induced Soft Errors in Static Latches beyond 0.18 m," Proc. Symp. VLSI Circuits, 2001, pp. 61-62. (Pubitemid 32950574)
-
(2001)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, Issue.CIRCUITS SYMP
, pp. 61-62
-
-
Karnik, T.1
Bloechel, B.2
Soumyanath, K.3
De, V.4
Borkar, S.5
-
11
-
-
31344466804
-
A sub-0.5-V operating embedded SRAM featuring a multi-bit-error-immune hidden-ECC scheme
-
DOI 10.1109/JSSC.2005.859029
-
T. Suzuki et al., "A Sub-0.5-V Operating Embedded SRAM Featuring a Multi-bit-Error-Immune Hidden-ECC Scheme," IEEE J. Solid-State Circuits, vol. 41, no. 1, 2006, pp. 152-160. (Pubitemid 43145973)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 152-160
-
-
Suzuki, T.1
Yamagami, Y.2
Hatanaka, I.3
Shibayama, A.4
Akamatsu, H.5
Yamauchi, H.6
-
12
-
-
0035506931
-
dd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell
-
DOI 10.1109/4.962296, PII S0018920001086309, 2001 ISSCC: Digital, Memory, and Signal Processing
-
K. Osada et al., "Universal-Vdd 0.65-2.0-V 32-kB Cache Using a Voltage-Adapted Timing-Generation Scheme and a Lithographically Symmetrical Cell," IEEE J. Solid- State Circuits, vol. 36, no. 11, 2001, pp. 1738-1744. (Pubitemid 33105940)
-
(2001)
IEEE Journal of Solid-State Circuits
, vol.36
, Issue.11
, pp. 1738-1744
-
-
Osada, K.1
Shin, J.L.2
Khan, M.3
Liou, Y.4
Wang, K.5
Shoji, K.6
Kuroda, K.7
Ikeda, S.8
Ishibashi, K.9
-
13
-
-
31344451652
-
A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply
-
DOI 10.1109/JSSC.2005.859025
-
K. Zhang et al., "A 3-GHz 70-mb SRAM in 65-nm CMOS Technology with Integrated Column-Based Dynamic Power Supply," IEEE J. Solid-State Circuits, vol. 41, no. 1, 2006, pp. 146-151. (Pubitemid 43145972)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 146-151
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Wang, Y.7
Zheng, B.8
Bohr, M.9
-
14
-
-
33947613119
-
A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits
-
DOI 10.1109/JSSC.2007.891648
-
S. Ohbayashi et al., "A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability with Read and Write Operation Stabilizing Circuits," IEEE J. Solid-State Circuits, vol. 42, no. 4, 2007, pp. 820-829. (Pubitemid 46495399)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.4
, pp. 820-829
-
-
Ohbayashi, S.1
Yabuuchi, M.2
Nil, K.3
Tsukamoto, Y.4
Imaoka, S.5
Oda, Y.6
Yoshihara, T.7
Igarashi, M.8
Takeuchi, M.9
Kawashima, H.10
Yamaguchi, Y.11
Tsukamoto, K.12
Inuishi, M.13
Makino, H.14
Ishibashi, K.15
Shinohara, H.16
-
15
-
-
34548822802
-
A 4.2GHz 0.3mm2 256kb dual-vcc SRAM building block in 65nm CMOS
-
IEEE Press
-
M. Khellah et al., "A 4.2GHz 0.3mm2 256kb Dual-Vcc SRAM Building Block in 65nm CMOS," Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 06), IEEE Press, 2006, pp. 2572-2581.
-
(2006)
Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 06)
, pp. 2572-2581
-
-
Khellah, M.1
-
16
-
-
37749046808
-
An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment
-
DOI 10.1109/VLSIC.2007.4342741, 4342741, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
Y. Morita et al., "An Area-Conscious Low-Voltage- Oriented 8T-SRAM Design under DVS Environment," Proc. IEEE Symp. VLSI Circuits, IEEE Press, 2007, pp. 256-257. (Pubitemid 351306645)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 256-257
-
-
Morita, Y.1
Fujiwara, H.2
Noguchi, H.3
Iguchi, Y.4
Nii, K.5
Kawaguchi, H.6
Yoshimoto, M.7
-
17
-
-
85008054031
-
A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy
-
N. Verma and A.P. Chandrakasan, "A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy," IEEE J. Solid-State Circuits, vol. 43, no. 1, 2008, pp. 141-149.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 141-149
-
-
Verma, N.1
Chandrakasan, A.P.2
-
18
-
-
2442719367
-
A 300 MHz 25mA/Mb leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile- phone application processor
-
IEEE Press, 542
-
M. Yamaoka et al., "A 300 MHz 25mA/Mb Leakage On-Chip SRAM Module Featuring Process-Variation Immunity and Low-Leakage-Active Mode for Mobile- Phone Application Processor," Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 04), vol. 1, IEEE Press, 2004, pp. 494-495, 542.
-
(2004)
Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 04)
, vol.1
, pp. 494-495
-
-
Yamaoka, M.1
-
19
-
-
70449440865
-
A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist
-
IEEE Press
-
M. Yabuuchi et al., "A 45nm 0.6V Cross-Point 8T SRAM with Negative Biased Read/Write Assist," Proc. Symp. VLSI Circuits, IEEE Press, 2009, pp. 158-159.
-
(2009)
Proc. Symp. VLSI Circuits
, pp. 158-159
-
-
Yabuuchi, M.1
-
20
-
-
33947694725
-
An SRAM design in 65-nm technology node featuring read and write-assist circuits to expand operating voltage
-
DOI 10.1109/JSSC.2007.892153
-
H. Pilo et al., "An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage," IEEE J. Solid-State Circuits, vol. 42, no. 4, 2007, pp. 813-819. (Pubitemid 46495398)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.4
, pp. 813-819
-
-
Pilo, H.1
Barwin, C.2
Braceras, G.3
Browning, C.4
Lamphier, S.5
Towler, F.6
-
21
-
-
33847721007
-
Fluctuation limits & scaling opportunities for CMOS SRAM cells
-
1609437, IEEE International Electron Devices Meeting, 2005 IEDM - Technical Digest
-
A. Bhavnagarwala et al., "Fluctuation Limits & Scaling Opportunities for CMOS SRAM Cells," Proc. IEEE Int'l Electron Devices Meeting (IEDM 05), IEEE Press, 2005, pp. 659-662. (Pubitemid 46370937)
-
(2005)
Technical Digest - International Electron Devices Meeting, IEDM
, vol.2005
, pp. 659-662
-
-
Bhavnagarwala, A.1
Kosonocky, S.2
Radens, C.3
Stawiasz, K.4
Mann, R.5
Qiuyi, Y.6
Ken, C.7
-
22
-
-
77952230369
-
A 32nm high-k metal gate SRAM with adaptive dynamic stability enhancement for low- voltage operation
-
IEEE Press
-
H. Nho et al., "A 32nm High-k Metal Gate SRAM with Adaptive Dynamic Stability Enhancement for Low- Voltage Operation," Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 10), IEEE Press, 2010, pp. 346-347.
-
(2010)
Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 10)
, pp. 346-347
-
-
Nho, H.1
-
23
-
-
85008026138
-
Implementation of the cell broadband engine in 65 nm SOI technology featuring dual power supply sram arrays supporting 6 GHz at 1.3 v
-
J. Pille et al., "Implementation of the Cell Broadband Engine in 65 nm SOI Technology Featuring Dual Power Supply SRAM Arrays Supporting 6 GHz at 1.3 V," IEEE J. Solid-State Circuits, vol. 43, no. 1, 2008, pp. 163-171.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 163-171
-
-
Pille, J.1
-
24
-
-
49549087315
-
65nm Low-power high-density SRAM operable at 1.0V under 3s systematic variation using separate Vth monitoring and body bias for NMOS and PMOS
-
IEEE Press
-
M. Yamaoka et al., "65nm Low-Power High-Density SRAM Operable at 1.0V under 3s Systematic Variation Using Separate Vth Monitoring and Body Bias for NMOS and PMOS," Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 08), IEEE Press, 2008, pp. 384-385, 622.
-
(2008)
Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 08)
, vol.622
, pp. 384-385
-
-
Yamaoka, M.1
-
25
-
-
67651165361
-
A 3.6 pJ/ Access 480 MHz 128 kb On-Chip SRAM with 850 MHz boost mode in 90 nm CMOS with tunable sense amplifiers
-
S. Cosemans, W. Dehaene, and F. Catthoor, "A 3.6 pJ/ Access 480 MHz, 128 kb On-Chip SRAM with 850 MHz Boost Mode in 90 nm CMOS with Tunable Sense Amplifiers," IEEE J. Solid-State Circuits, vol. 44, no. 7, 2009, pp. 2065-2077.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.7
, pp. 2065-2077
-
-
Cosemans, S.1
Dehaene, W.2
Catthoor, F.3
-
26
-
-
38849084539
-
A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing
-
DOI 10.1109/JSSC.2007.914328
-
T.-H. Kim et al., "A 0.2 V, 480 kb Subthreshold SRAM with 1 k Cells per Bitline for Ultra-Low-Voltage Computing," IEEE J. Solid-State Circuits, vol. 43, no. 2, 2008, pp. 518-529. (Pubitemid 351190219)
-
(2008)
IEEE Journal of Solid-State Circuits
, vol.43
, Issue.2
, pp. 518-529
-
-
Kim, T.-H.1
Liu, J.2
Keane, J.3
Kim, C.H.4
-
27
-
-
77952129634
-
A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS
-
M. Qazi et al., "A 512kb 8T SRAM Macro Operating down to 0.57V with an AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45nm SOI CMOS," Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 10), 2010, pp. 350-351.
-
(2010)
Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 10)
, pp. 350-351
-
-
Qazi, M.1
-
28
-
-
2942687683
-
SRAM leakage suppression by minimizing standby supply voltage
-
IEEE Press
-
H. Qin et al., "SRAM Leakage Suppression by Minimizing Standby Supply Voltage," Proc. 5th Int'l Symp. Quality Electronic Design (ISQED 04), IEEE Press, 2004, pp. 55-60.
-
(2004)
Proc. 5th Int'l Symp. Quality Electronic Design (ISQED 04)
, pp. 55-60
-
-
Qin, H.1
-
29
-
-
49549091784
-
A 450ps access-time SRAM macro in 45nm SOI featuring a two-stage sensing-scheme and dynamic power management
-
621
-
H. Pilo et al., "A 450ps Access-Time SRAM Macro in 45nm SOI Featuring a Two-Stage Sensing-Scheme and Dynamic Power Management," Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 08), 2008, pp. 378-379, 621.
-
(2008)
Proc. IEEE Int'l Solid-State Circuits Conf. (ISSCC 08)
, pp. 378-379
-
-
Pilo, H.1
-
30
-
-
33745118352
-
A low leakage SRAM macro with replica cell biasing scheme
-
DOI 10.1109/VLSIC.2005.1469357, 1469357, 2005 Symposium on VLSI Circuits - Digest of Technical Papers
-
Y. Takeyama et al., "A Low Leakage SRAM Macro with Replica Cell Biasing Scheme," Proc. IEEE Symp. VLSI Circuits, IEEE Press, 2005, pp. 166-167. (Pubitemid 43898012)
-
(2005)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, vol.2005
, pp. 166-167
-
-
Takeyama, Y.1
Otake, H.2
Hirabayashi, O.3
Kushida, K.4
Otsuka, N.5
-
31
-
-
34548303547
-
Statistical blockade: A novel method for very fast monte carlo simulation of rare circuit events, and its application
-
IEEE CS Press
-
A. Singhee and R.A. Rutenbar, "Statistical Blockade: A Novel Method for Very Fast Monte Carlo Simulation of Rare Circuit Events, and Its Application," Proc. Design, Automation and Test in Europe Conf. (DATE 07), IEEE CS Press, 2007.
-
(2007)
Proc. Design, Automation and Test in Europe Conf. (DATE 07)
-
-
Singhee, A.1
Rutenbar, R.A.2
-
32
-
-
77953105147
-
Loop flattening & spherical sampling: Highly efficient model reduction techniques for SRAM yield analysis
-
IEEE CS Press
-
M. Qazi et al., "Loop Flattening & Spherical Sampling: Highly Efficient Model Reduction Techniques for SRAM Yield Analysis," Proc. Design, Automation and Test in Europe Conf. (DATE 10), IEEE CS Press, pp. 801-806.
-
Proc. Design, Automation and Test in Europe Conf. (DATE 10)
, pp. 801-806
-
-
Qazi, M.1
-
33
-
-
19944428331
-
A 4-MB on-chip L2 cache for a 90-nm 1.6-GHz 64-Bit microprocessor
-
H. McIntyre et al., "A 4-MB On-Chip L2 Cache for a 90-nm 1.6-GHz 64-Bit Microprocessor," IEEE J. Solid- State Circuits, vol. 40, no. 1, 2005. pp. 52-59.
-
(2005)
IEEE J. Solid- State Circuits
, vol.40
, Issue.1
, pp. 52-59
-
-
McIntyre, H.1
|