-
1
-
-
28344432776
-
Placement and routing in 3D integrated circuits
-
ABABEI, C., FENG, Y., GOPLEN, B., MOGAL, H., ZHANG, T., BAZARGAN, K., AND SAPATNEKAR, S. S. 2005. Placement and routing in 3D integrated circuits. IEEE Design Test Comput. 22, 6, 520-531.
-
(2005)
IEEE Design Test Comput.
, vol.22
, Issue.6
, pp. 520-531
-
-
Ababei, C.1
Feng, Y.2
Goplen, B.3
Mogal, H.4
Zhang, T.5
Bazargan, K.6
Sapatnekar, S.S.7
-
2
-
-
33751334352
-
Current status of chalcogenide phase change memory
-
ATWOOD, G. AND BEZ, R. 2005. Current status of chalcogenide phase change memory. In Device Research Conference Digest., Vol. 1, 29-33.
-
(2005)
Device Research Conference Digest.
, vol.1
, pp. 29-33
-
-
Atwood, G.1
Bez, R.2
-
3
-
-
33749079198
-
BioPerf: A benchmark suite to evaluate highperformance computer architecture on bioinformatics applications
-
BADER, D. A., LI, Y., LI, T., AND SACHDEVA, V. 2005. BioPerf: A benchmark suite to evaluate highperformance computer architecture on bioinformatics applications. In Proceedings of the IEEE International Symposium on Workload Characterization. 163-173.
-
(2005)
Proceedings of the IEEE International Symposium on Workload Characterization
, pp. 163-173
-
-
Bader, D.A.1
Li, Y.2
Li, T.3
Sachdeva, V.4
-
4
-
-
0003605996
-
The NAS parallel benchmarks
-
BAILEY, D., BARTON, J., LASINSKI, T., AND SIMON, H. 1991. The NAS parallel benchmarks. Tech. rep. RNR-91-002 revision2. 453-464.
-
(1991)
Tech. Rep. RNR-91-002 revision2
, pp. 453-464
-
-
Bailey, D.1
Barton, J.2
Lasinski, T.3
Simon, H.4
-
5
-
-
21644472427
-
Managing wire delay in large chip-multiprocessor caches
-
IEEE Computer Society, Los Alamitos, CA
-
BECKMANN, B.M. ANDWOOD, D. A. 2004. Managing wire delay in large chip-multiprocessor caches. In Proceedings of the International Symposium on Microarchitecture. IEEE Computer Society, Los Alamitos, CA, 319-330.
-
(2004)
Proceedings of the International Symposium on Microarchitecture
, pp. 319-330
-
-
Beckmann, B.M.1
Wood, D.A.2
-
6
-
-
67649099945
-
SET and RESET pulse characterization in BJT-selected phase-change memories
-
BEDESCHI, F.,BONIZZONI, E.,CASAGRANDE, G.,GASTALDI, R.,RESTA, C.,TORELLI, G., AND ZELLA, D. 2005. SET and RESET pulse characterization in BJT-selected phase-change memories. In Proceedings of the IEEE International Symposium on Circuits and Systems. Vol. 2, 1270-1273.
-
(2005)
Proceedings of the IEEE International Symposium on Circuits and Systems
, vol.2
, pp. 1270-1273
-
-
Bedeschi, F.1
Bonizzoni, E.2
Casagrande, G.3
Gastaldi, R.4
Resta, C.5
Torelli, G.6
Zella, D.7
-
7
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
ACM, New York, NY
-
BIENIA, C., KUMAR, S., SINGH, J. P., AND LI, K. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. ACM, New York, NY, 72-81.
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
8
-
-
27544478808
-
Mambo: A full system simulator for the powerpc architecture
-
BOHRER, P.,PETERSON, J.,ELNOZAHY,M.,RAJAMONY, R.,GHEITH, A.,ROCKHOLD, R.,LEFURGY, C.,SHAFI, H., NAKRA, T., SIMPSON, R., SPEIGHT, E., SUDEEP, K.,HENSBERGEN, E. V., AND ZHANG, L. 2004. Mambo: A full system simulator for the powerpc architecture. SIGMETRICS Perform. Eval. Rev. 31, 4, 8-12.
-
(2004)
SIGMETRICS Perform. Eval. Rev.
, vol.31
, Issue.4
, pp. 8-12
-
-
Bohrer, P.1
Peterson, J.2
Elnozahy, M.3
Rajamony, R.4
Gheith, A.5
Rockhold, R.6
Lefurgy, C.7
Shafi, H.8
Nakra, T.9
Simpson, R.10
Speight, E.11
Sudeep, K.12
Hensbergen, E.V.13
Zhang, L.14
-
9
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
ACM, New York, NY
-
BROOKS, D., TIWARI, V., AND MARTONOSI, M. 2000. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the International Symposium on Computer Architecture. ACM, New York, NY, 83-94.
-
(2000)
Proceedings of the International Symposium on Computer Architecture
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
10
-
-
40349090128
-
Die stacking (3D) microarchitecture
-
IEEE Computer Society, Los Alamitos, CA
-
BRYAN, B., MURALI, A., NED, B., JOHN, D., LEI, J., GABRIEL, H. L., DON, M., PAT, M., DONALD, W. N., DANIEL, P., PAUL, R., JEFF, R., SADASIVAN, S., JOHN, S., AND CLAIR, W. 2006. Die stacking (3D) microarchitecture. In Proceedings of the International Symposium on Microarchitecture. IEEE Computer Society, Los Alamitos, CA, 469-479.
-
(2006)
Proceedings of the International Symposium on Microarchitecture
, pp. 469-479
-
-
Bryan, B.1
Murali, A.2
Ned, B.3
John, D.4
Lei, J.5
Gabriel, H.L.6
Don, M.7
Pat, M.8
Donald, W.N.9
Daniel, P.10
Paul, R.11
Jeff, R.12
Sadasivan, S.13
John, S.14
Clair, W.15
-
11
-
-
46149125725
-
Ultra-thin phase-change bridge memory device using GeSb
-
CHEN, Y., RETTNER, C., RAOUX, S., BURR, G., CHEN, S., SHELBY, R., SALINGA, M., RISK, W., HAPP, T., MCCLELLAND, G., BREITWISCH, M., SCHROTT, A., PHILIPP, J., LEE, M., CHEEK, R.,NIRSCHL, T., LAMOREY, M., CHEN, C., JOSEPH, E., ZAIDI, S.,YEE, B.,LUNG, H.,BERGMANN, R., AND LAM, C. 2006. Ultra-thin phase-change bridge memory device using GeSb. In Proceedings of the International Electron Devices Meeting, 1-4.
-
(2006)
Proceedings of the International Electron Devices Meeting
, pp. 1-4
-
-
Chen, Y.1
Rettner, C.2
Raoux, S.3
Burr, G.4
Chen, S.5
Shelby, R.6
Salinga, M.7
Risk, W.8
Happ, T.9
McClelland, G.10
Breitwisch, M.11
Schrott, A.12
Philipp, J.13
Lee, M.14
Cheek, R.15
Nirschl, T.16
Lamorey, M.17
Chen, C.18
Joseph, E.19
Zaidi, S.20
Yee, B.21
Lung, H.22
Bergmann, R.23
Lam, C.24
more..
-
13
-
-
27544432313
-
Optimizing replication, communication, and capacity allocation in CMPs
-
CHISHTI, Z., POWELL, M. D., AND VIJAYKUMAR, T. N. 2005. Optimizing replication, communication, and capacity allocation in CMPs. SIGARCH Comput. Archit. News 33, 2, 357-368.
-
(2005)
SIGARCH Comput. Archit. News
, vol.33
, Issue.2
, pp. 357-368
-
-
Chishti, Z.1
Powell, M.D.2
Vijaykumar, T.N.3
-
15
-
-
0141745746
-
2 memory cell
-
2 memory cell. J. Appl. Phys. 94, 5, 3536-3542.
-
(2003)
J. Appl. Phys.
, vol.94
, Issue.5
, pp. 3536-3542
-
-
Dae-Hwan, K.1
Dong-Ho, A.2
Ki-Bum, K.3
Webb, J.F.4
Kyung-Woo, Y.5
-
16
-
-
28344452134
-
Demystifying 3D ICs: The pros and cons of going vertical
-
DAVIS,W. R.,WILSON, J.,MICK, S.,XU, J.,HUA, H.,MINEO, C.,SULE, A. M.,STEER, M., AND FRANZON, P. D. 2005. Demystifying 3D ICs: The pros and cons of going vertical. IEEE Desi. Test Comput. 22, 6, 498-510.
-
(2005)
IEEE Desi. Test Comput.
, vol.22
, Issue.6
, pp. 498-510
-
-
Davis, W.R.1
Wilson, J.2
Mick, S.3
Xu, J.4
Hua, H.5
Mineo, C.6
Sule, A.M.7
Steer, M.8
Franzon, P.D.9
-
17
-
-
51549109199
-
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement
-
DONG, X., WU, X., SUN, G., XIE, Y., LI, H., AND CHEN, Y. 2008. Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. In Proceedings of the Design Automation Conference. 554-559.
-
(2008)
Proceedings of the Design Automation Conference
, pp. 554-559
-
-
Dong, X.1
Wu, X.2
Sun, G.3
Xie, Y.4
Li, H.5
Chen, Y.6
-
18
-
-
64549095226
-
System-level cost analysis and design exploration for threedimensional integrated circuits (3D ICs)
-
IEEE Los Alamitos, CA, NJ
-
DONG, X. AND XIE, Y. 2009. System-level cost analysis and design exploration for threedimensional integrated circuits (3D ICs). In Proceedings of the Asia and South Pacific Design Automation Conference. IEEE Los Alamitos, CA, NJ, 234-241.
-
(2009)
Proceedings of the Asia and South Pacific Design Automation Conference
, pp. 234-241
-
-
Dong, X.1
Xie, Y.2
-
19
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
FLAUTNER, K., KIM, N. S., MARTIN, S., BLAAUW, D., AND MUDGE, T. 2002. Drowsy caches: Simple techniques for reducing leakage power. SIGARCH Comput. Archit. News 30, 2, 148-157.
-
(2002)
SIGARCH Comput. Archit. News
, vol.30
, Issue.2
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
20
-
-
47349120126
-
Smart refresh: An enhanced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs
-
GHOSH, M. AND LEE, H.-H. S. 2007. Smart refresh: An enhanced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs. In Proceedings of the International Symposium on Microarchitecture. 134-145.
-
(2007)
Proceedings of the International Symposium on Microarchitecture
, pp. 134-145
-
-
Ghosh, M.1
Lee, H.-H.S.2
-
21
-
-
34548861504
-
A 512KB embedded phase change memory with 416kB/s write throughput at 100uA cell write current
-
HANZAWA, S., KITAI, N., OSADA, K., KOTABE, A., MATSUI, Y., MATSUZAKI, N., TAKAURA, N., MONIWA, M., AND KAWAHARA, T. 2007. A 512KB embedded phase change memory with 416kB/s write throughput at 100uA cell write current. In Proceedings of the IEEE International Solid-State Circuits Conference. 474-616.
-
(2007)
Proceedings of the IEEE International Solid-State Circuits Conference
, pp. 474-616
-
-
Hanzawa, S.1
Kitai, N.2
Osada, K.3
Kotabe, A.4
Matsui, Y.5
Matsuzaki, N.6
Takaura, N.7
Moniwa, M.8
Kawahara, T.9
-
22
-
-
33847743417
-
A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-RAM
-
HOSOMI, M., YAMAGISHI, H., YAMAMOTO, T., ET AL. 2005. A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-RAM. In Proceedings of the International Electron Devices Meeting. 459-462.
-
(2005)
Proceedings of the International Electron Devices Meeting
, pp. 459-462
-
-
Hosomi, M.1
Yamagishi, H.2
Yamamoto, T.3
-
23
-
-
49149120280
-
Accurate, pre- RTL temperature-aware design using a parameterized, geometric thermal model
-
HUANG, W., SANKARANARAYANAN, K., SKADRON, K., RIBANDO, R., AND STAN, M. 2008. Accurate, pre- RTL temperature-aware design using a parameterized, geometric thermal model. IEEE Trans. Comput. 57, 9, 1277-1288.
-
(2008)
IEEE Trans. Comput.
, vol.57
, Issue.9
, pp. 1277-1288
-
-
Huang, W.1
Sankaranarayanan, K.2
Skadron, K.3
Ribando, R.4
Stan, M.5
-
24
-
-
32844471317
-
A NUCA substrate for flexible CMP cache sharing
-
HUH, J.,KIM, C., SHAFI, H., ZHANG, L.,BURGER, D., AND KECKLER, S.W. 2005. A NUCA substrate for flexible CMP cache sharing. In Proceedings of the International Conference on Supercomputing. 31-40.
-
(2005)
Proceedings of the International Conference on Supercomputing
, pp. 31-40
-
-
Huh, J.1
Kim, C.2
Shafi, H.3
Zhang, L.4
Burger, D.5
Keckler, S.W.6
-
25
-
-
8344236686
-
Effective streambased and execution-based data prefetching
-
IACOBOVICI, S., SPRACKLEN, L., KADAMBI, S., CHOU, Y., AND ABRAHAM, S. G. 2004. Effective streambased and execution-based data prefetching. In Proceedings of the International Conference on Supercomputing. 1-11.
-
(2004)
Proceedings of the International Conference on Supercomputing
, pp. 1-11
-
-
Iacobovici, S.1
Spracklen, L.2
Kadambi, S.3
Chou, Y.4
Abraham, S.G.5
-
26
-
-
0025429331
-
Improving direct-mapped cache performance by the addition of a small fullyassociative cache and prefetch buffers
-
JOUPPI, N. 1990. Improving direct-mapped cache performance by the addition of a small fullyassociative cache and prefetch buffers. In Proceedings of the International Symposium on Computer Architecture., 364-373.
-
(1990)
Proceedings of the International Symposium on Computer Architecture
, pp. 364-373
-
-
Jouppi, N.1
-
28
-
-
34247864561
-
2Mb Spin-transfer torque RAM (SPRAM) with bit-by-bit bidirectional current write and parallelizing-direction current read
-
KAWAHARA, T., TAKEMURA, R., MIURA, K., AND ET AL. 2007. 2Mb Spin-transfer torque RAM (SPRAM) with bit-by-bit bidirectional current write and parallelizing-direction current read. In Proceedings of the IEEE International Solid-State Circuits Conference. 480-617.
-
(2007)
Proceedings of the IEEE International Solid-State Circuits Conference
, pp. 480-617
-
-
Kawahara, T.1
Takemura, R.2
Miura, K.3
-
29
-
-
34547476643
-
PicoServer: Using 3D stacking technology to enable a compact energy efficient chip multiprocessor
-
KGIL, T., D'SOUZA, S., SAIDI, A., BINKERT, N., DRESLINSKI, R.,MUDGE, T., REINHARDT, S., AND FLAUTNER, K. 2006. PicoServer: Using 3D stacking technology to enable a compact energy efficient chip multiprocessor. SIGARCH Comput. Archit. News 34, 5, 117-128.
-
(2006)
SIGARCH Comput. Archit. News
, vol.34
, Issue.5
, pp. 117-128
-
-
Kgil, T.1
D'souza, S.2
Saidi, A.3
Binkert, N.4
Dreslinski, R.5
Mudge, T.6
Reinhardt, S.7
Flautner, K.8
-
30
-
-
0036949388
-
An adaptive, non-uniform cache structure for wiredelay dominated on-chip caches
-
KIM, C.,BURGER, D., AND KECKLER, S.W. 2002. An adaptive, non-uniform cache structure for wiredelay dominated on-chip caches. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems. 211-222.
-
(2002)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 211-222
-
-
Kim, C.1
Burger, D.2
Keckler, S.W.3
-
31
-
-
70450235471
-
Architecting phase change memory as a scalable DRAM alternative
-
LEE, B. C., IPEK, E., MUTLU, O., AND BURGER, D. 2009. Architecting phase change memory as a scalable DRAM alternative. In Proceedings of the 36th International Symposium on Computer Architecture. 2-13.
-
(2009)
Proceedings of the 36th International Symposium on Computer Architecture
, pp. 2-13
-
-
Lee, B.C.1
Ipek, E.2
Mutlu, O.3
Burger, D.4
-
32
-
-
33845914023
-
Design and management of 3D chip multiprocessors using network-in-memory
-
DOI 10.1109/ISCA.2006.18, 1635947, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
-
LI, F., NICOPOULOS, C., RICHARDSON, T., XIE, Y., NARAYANAN, V., AND KANDEMIR, M. 2006. Design and management of 3D chip multiprocessors using network-in-memory. In Proceedings of the International Symposium on Computer Architecture 34, 2, 130-141. (Pubitemid 46016610)
-
(2006)
Proceedings - International Symposium on Computer Architecture
, vol.2006
, pp. 130-141
-
-
Li, F.1
Nicopoulos, C.2
Richardson, T.3
Xie, Y.4
Narayanan, V.5
Kandemir, M.6
-
33
-
-
28344453642
-
Bridging the processor-memory performance gap with 3D IC technology
-
LIU, C., GANUSOV, I., BURTSCHER, M., AND TIWARI, S. 2005. Bridging the processor-memory performance gap with 3D IC technology. IEEE Des. Test Comput. 22, 6, 556-564.
-
(2005)
IEEE Des. Test Comput.
, vol.22
, Issue.6
, pp. 556-564
-
-
Liu, C.1
Ganusov, I.2
Burtscher, M.3
Tiwari, S.4
-
35
-
-
64949203821
-
Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy
-
MADAN, N., ZHAO, L., MURALIMANOHAR, N., UDIPI, A., BALASUBRAMONIAN, R., IYER, R., MAKINENI, S., AND NEWELL, D. 2009. Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 262-274.
-
(2009)
Proceedings of the IEEE International Symposium on High Performance Computer Architecture
, pp. 262-274
-
-
Madan, N.1
Zhao, L.2
Muralimanohar, N.3
Udipi, A.4
Balasubramonian, R.5
Iyer, R.6
Makineni, S.7
Newell, D.8
-
36
-
-
56749145921
-
A low-power phase change memory based hybrid cache architecture
-
MANGALAGIRI, P., SARPATWARI, K.,YANAMANDRA, A.,NARAYANAN, V.,XIE, Y., IRWIN, M. J., AND KARIM, O. A. 2008. A low-power phase change memory based hybrid cache architecture. In Proceedings of the Great Lakes Symposium on VLSI. 395-398.
-
(2008)
Proceedings of the Great Lakes Symposium on VLSI
, pp. 395-398
-
-
Mangalagiri, P.1
Sarpatwari, K.2
Yanamandra, A.3
Narayanan, V.4
Xie, Y.5
Irwin, M.J.6
Karim, O.A.7
-
38
-
-
47349084021
-
Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0
-
IEEE Computer Society, Los Alamitos, CA
-
MURALIMANOHAR, N., BALASUBRAMONIAN, R., AND JOUPPI, N. 2007. Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. In Proceedings of the 40th Annual IEEE/ACMInternational Symposium on Microarchitecture. IEEE Computer Society, Los Alamitos, CA, 3-14.
-
(2007)
Proceedings of the 40th Annual IEEE/ACMInternational Symposium on Microarchitecture
, pp. 3-14
-
-
Muralimanohar, N.1
Balasubramonian, R.2
Jouppi, N.3
-
39
-
-
4544229593
-
Novel utrench phase-change memory cell for embedded and stand-alone non-volatile memory applications
-
PELLIZZER, F., PIROVANO, A., OTTOGALLI, F., MAGISTRETTI, M., SCARAVAGGI, M., ZULIANI, P., TOSI, M., BENVENUTI, A., BESANA, P., CADEO, S.,MARANGON, T.,MORANDI, R., PIVA, R., SPANDRE, A., ZONCA, R., MODELLI, A.,VARESI, E.,LOWREY, T.,LACAITA, A.,CASAGRANDE, G.,CAPPELLETTI, P., AND BEZ, R. 2004. Novel utrench phase-change memory cell for embedded and stand-alone non-volatile memory applications. In Proceedings of the Symposium on VLSI Technology. 18-19.
-
(2004)
Proceedings of the Symposium on VLSI Technology
, pp. 18-19
-
-
Pellizzer, F.1
Pirovano, A.2
Ottogalli, F.3
Magistretti, M.4
Scaravaggi, M.5
Zuliani, P.6
Tosi, M.7
Benvenuti, A.8
Besana, P.9
Cadeo, S.10
Marangon, T.11
Morandi, R.12
Piva, R.13
Spandre, A.14
Zonca, R.15
Modelli, A.16
Varesi, E.17
Lowrey, T.18
Lacaita, A.19
Casagrande, G.20
Cappelletti, P.21
Bez, R.22
more..
-
41
-
-
25844437046
-
Power5 system microarchitecture
-
SINHAROY, B., KALLA, R. N., TENDLER, J. M., EICKEMEYER, R. J., AND JOYNER, J. B. 2005. Power5 system microarchitecture. IBM J. Resear. Devel. 49, 4/5, 505-521.
-
(2005)
IBM J. Resear. Devel.
, vol.49
, Issue.4-5
, pp. 505-521
-
-
Sinharoy, B.1
Kalla, R.N.2
Tendler, J.M.3
Eickemeyer, R.J.4
Joyner, J.B.5
-
42
-
-
78651405596
-
-
SPEC.
-
SPEC. 2006. Standard Performance Evaluation Corporation. http://www.spec.org/cpu2006/.
-
(2006)
-
-
-
43
-
-
64949106457
-
A novel architecture of the 3D stacked MRAM L2 cache for CMPs
-
SUN, G.,DONG, X.,XIE, Y., LI, J., AND CHEN, Y. 2009. A novel architecture of the 3D stacked MRAM L2 cache for CMPs. In Proceedings of the IEEE International Symosium on High Performance Computer Architecture. 239-249.
-
(2009)
Proceedings of the IEEE International Symosium on High Performance Computer Architecture
, pp. 239-249
-
-
Sun, G.1
Dong, X.2
Xie, Y.3
Li, J.4
Chen, Y.5
-
44
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
WOO, S. C., OHARA, M., TORRIE, E., SINGH, J. P., AND GUPTA, A. 1995. The SPLASH-2 programs: Characterization and methodological considerations. SIGARCH Comput. Archit. News 23, 2, 24-36.
-
(1995)
SIGARCH Comput. Archit. News
, vol.23
, Issue.2
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
45
-
-
70350066513
-
Power and performance of read-write aware hybrid caches with non-volatile memories
-
WU, X., LI, J., ZHANG, L., SPEIGHT, E., AND XIE, Y. 2009. Power and performance of read-write aware hybrid caches with non-volatile memories. In Proceedings of the Conference on Design, Automation and Test in Europe. 737-742.
-
(2009)
Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 737-742
-
-
Wu, X.1
Li, J.2
Zhang, L.3
Speight, E.4
Xie, Y.5
-
46
-
-
33746626966
-
Design space exploration for 3D architectures
-
XIE, Y., LOH, G. H., BLACK, B., AND BERNSTEIN, K. 2006. Design space exploration for 3D architectures. J. Emerg. Technol. Comput. Syst. 2, 2, 65-10
-
(2006)
J. Emerg. Technol. Comput. Syst.
, vol.2
, Issue.2
, pp. 65-10
-
-
Xie, Y.1
Loh, G.H.2
Black, B.3
Bernstein, K.4
-
47
-
-
43549121995
-
Macro-model of spin-transfer torque based magnetic tunnel junction device for hybrid magnetic-CMOS design
-
ZHAO, W., BELHAIRE, E., MISTRAL, Q., CHAPPERT, C., JAVERLIAC, V., DIENY, B., AND NICOLLE, E. 2006. Macro-model of spin-transfer torque based magnetic tunnel junction device for hybrid magnetic-CMOS design. In Proceedings of the IEEE International Behavioral Modeling and Simulation Workshop. 40-43.
-
(2006)
Proceedings of the IEEE International Behavioral Modeling and Simulation Workshop
, pp. 40-43
-
-
Zhao, W.1
Belhaire, E.2
Mistral, Q.3
Chappert, C.4
Javerliac, V.5
Dieny, B.6
Nicolle, E.7
-
48
-
-
70450277571
-
A durable and energy efficient main memory using phase change memory technology
-
ZHOU, P., ZHAO, B., YANG, J., AND ZHANG, Y. 2009. A durable and energy efficient main memory using phase change memory technology. In Proceedings of the 36th International Symposium on Computer Architecture. 14-23.
-
(2009)
Proceedings of the 36th International Symposium on Computer Architecture
, pp. 14-23
-
-
Zhou, P.1
Zhao, B.2
Yang, J.3
Zhang, Y.4
|