-
1
-
-
0348011359
-
Dynamically tuning processor resources with adaptive processing
-
ALBONESI, D.,BALASUBRAMONIAN, R.,DROPSHO, S.,DWARKADAS, S., FRIEDMAN, E.,HUANG, M.,KURSUN, V., MAGKLIS, G., ET AL. 2003. Dynamically tuning processor resources with adaptive processing. IEEE Comput. 36, 12, 49-58.
-
(2003)
IEEE Comput.
, vol.36
, Issue.12
, pp. 49-58
-
-
Albonesi, D.1
Balasubramonian, R.2
Dropsho, S.3
Dwarkadas, S.4
Friedman E.Huang5
M.Kursun, V.6
Magklis, G.7
Et Al.8
-
2
-
-
77953118550
-
An integrated framework for joint design space exploration of microarchitecture and circuits
-
ACM New York
-
AZIZI, O., STEVENSON, J., PATEL, S., AND HOROWITZ, M. 2010. An integrated framework for joint design space exploration of microarchitecture and circuits. In Proceedings of the Conference on Design, Automation and Test in Europe. ACM New York.
-
(2010)
Proceedings of the Conference on Design, Automation and Test in Europe
-
-
Azizi, O.1
Stevenson, J.2
Patel, S.3
Horowitz, M.4
-
3
-
-
0034316092
-
Power-aware microarchitecture: design and modeling challenges for next-generation microprocessors
-
DOI 10.1109/40.888701
-
BROOKS, D., BOSE, P., SCHUSTER, S., JACOBSON, H., KUDVA, P., BUYUKTOSUNOGLU, A., WELLER, J.-D., ZYUBAN, V.,GUPTA,M., AND COOK, P. 2000. Power-awaremicroarchitecture:Design and modeling challenges for next-generation microprocessors. IEEE Micro 20, 6, 26-44. (Pubitemid 32085686)
-
(2000)
IEEE Micro
, vol.20
, Issue.6
, pp. 26-44
-
-
Brooks, D.M.1
Bose, P.2
Schuster, S.E.3
Jacobson, H.4
Kudva, P.N.5
Buyuktosunoglu, A.6
Wellman, J.-D.7
Zyuban, V.8
Gupta, M.9
Cook, P.W.10
-
4
-
-
0346898058
-
New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors
-
BROOKS, D., BOSE, P., SRINIVASAN, V., GSCHWIND, M., EMMA, P., AND ROSENFIELD, M. 2003. New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors. IBM J. Res. Dev. 47, 5/6, 653-670.
-
(2003)
IBM J. Res. Dev.
, vol.47
, Issue.5-6
, pp. 653-670
-
-
Brooks, D.1
Bose, P.2
Srinivasan, V.3
Gschwind, M.4
Emma, P.5
Rosenfield, M.6
-
5
-
-
34248211088
-
Method-specific dynamic compilation using logistic regression
-
IEEE, Los Alamitos, CA
-
CAVAZOS, J. AND O'BOYLE, M. 2006. Method-specific dynamic compilation using logistic regression. In Proceedings of the 21st Annual Conference on Object-Oriented Programming Systems, Languages, and Applications. IEEE, Los Alamitos, CA, 229-240.
-
(2006)
Proceedings of the 21st Annual Conference on Object-Oriented Programming Systems, Languages, and Applications
, pp. 229-240
-
-
Cavazos, J.1
O'boyle, M.2
-
6
-
-
17144430151
-
Optimizing for reduced code space using genetic algorithms
-
ACM, New York
-
COOPER, K., SCHIELKE, P., AND SUBRAMANIAN, D. 1999. Optimizing for reduced code space using genetic algorithms. In Proceedings of the Workshop on Languages, Compilers, and Tools for Embedded Systems. ACM, New York, 1-9.
-
(1999)
Proceedings of the Workshop on Languages, Compilers, and Tools for Embedded Systems
, pp. 1-9
-
-
Cooper, K.1
Schielke, P.2
Subramanian, D.3
-
7
-
-
47349128966
-
Microarchitectural design space exploration using an architecture-centric approach
-
IEEE, Los Alamitos, CA
-
DUBACH, C., JONES, T., AND O'BOYLE, M. 2008. Microarchitectural design space exploration using an architecture-centric approach. In Proceedings of the 40th Annual International Symposium on Microarchitecture. IEEE, Los Alamitos, CA, 262-271.
-
(2008)
Proceedings of the 40th Annual International Symposium on Microarchitecture
, pp. 262-271
-
-
Dubach, C.1
Jones, T.2
O'boyle, M.3
-
9
-
-
1442333868
-
Quantifying the impact of input data sets on program behavior and its applications
-
EECKHOUT, L. AND H. VANDIERENDONCK, K. D. 2003. Quantifying the impact of input data sets on program behavior and its applications. J. Instruction-Level Parall. 5.
-
(2003)
J. Instruction-Level Parall.
, vol.5
-
-
Eeckhout, L.H.1
Vandierendonck, K.D.2
-
10
-
-
0242577987
-
Statistical simulation: Adding efficiency to the computer designer's toolbox
-
EECKHOUT, L.,NUSSBAUM, S., SMITH, J., AND DEBOSSCHERE, K. 2003. Statistical simulation: Adding efficiency to the computer designer's toolbox. IEEE Micro 23, 5, 26-38.
-
(2003)
IEEE Micro 23
, vol.5
, pp. 26-38
-
-
Eeckhout, L.1
Nussbaum, S.2
Smith, J.3
Debosschere, K.4
-
11
-
-
34047158789
-
Efficient design space exploration of high performance embedded out-of-order processors
-
ACM, New York
-
EYERMAN, S., EECKHOUT, L., AND DEBOSSCHERE, K. 2006. Efficient design space exploration of high performance embedded out-of-order processors. In Proceedings of the Conference on Design, Automation and Test in Europe. ACM, New York, 351-356.
-
(2006)
Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 351-356
-
-
Eyerman, S.1
Eeckhout, L.2
Debosschere, K.3
-
12
-
-
67650312346
-
A mechanistic performance modeling for studying resource scaling in out-of-order processors
-
EYERMAN, S., EECKHOUT, L., KARKHANIS, T., AND SMITH, J. 2009. A mechanistic performance modeling for studying resource scaling in out-of-order processors. ACM Trans. Comput. Syst. 27, 2, 1-37.
-
(2009)
ACM Trans. Comput. Syst.
, vol.27
, Issue.2
, pp. 1-37
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.4
-
15
-
-
0036296817
-
The optimum pipeline depth for a microprocessor
-
IEEE, Los Alamitos, CA
-
HARTSTEIN, A. AND PUZAK, T. 2002. The optimum pipeline depth for a microprocessor. In Proceedings of the 29th Annual International Symposium on Computer Architecture. IEEE, Los Alamitos, CA, 7-13.
-
(2002)
Proceedings of the 29th Annual International Symposium on Computer Architecture
, pp. 7-13
-
-
Hartstein, A.1
Puzak, T.2
-
17
-
-
0036287089
-
The optimal logic depth per pipeline stage is 6 to 8 for inverter delays
-
IEEE, Los Alamitos, CA
-
HRISHIKESH, M., FARKAS, K., JOUPPI, N., BURGER, D., KECKLER, S., AND SIVAKUMAR, P. 2002. The optimal logic depth per pipeline stage is 6 to 8 for inverter delays. In Proceedings of the 29th Annual Symposium on Computer Architecture. IEEE, Los Alamitos, CA, 14-24.
-
(2002)
Proceedings of the 29th Annual Symposium on Computer Architecture
, pp. 14-24
-
-
Hrishikesh, M.1
Farkas, K.2
Jouppi, N.3
Burger, D.4
Keckler, S.5
Sivakumar, P.6
-
19
-
-
34547417098
-
Efficiently exploring architectural design spaces via predictive modeling
-
ACM, New York
-
IPEK, E., MCKEE, S., DE SUPINSKI, B., SCHULZ, M., AND CARUANA, R. 2006. Efficiently exploring architectural design spaces via predictive modeling. In Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems. ACM, New York, 195-206.
-
(2006)
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 195-206
-
-
Ipek, E.1
Mckee, S.2
De Supinski, B.3
Schulz, M.4
Caruana, R.5
-
20
-
-
0029700388
-
Representative traces for processor models with infinite cache
-
IEEE, Los Alamitos, CA
-
IYENGAR, V., TREVILLYAN, L., AND BOSE, P. 1996. Representative traces for processor models with infinite cache. In Proceedings of the 2nd Symposium onHigh-Performance Computer Architecture. IEEE, Los Alamitos, CA, 62-72.
-
(1996)
Proceedings of the 2nd Symposium onHigh-Performance Computer Architecture
, pp. 62-72
-
-
Iyengar, V.1
Trevillyan, L.2
Bose, P.3
-
21
-
-
33748863916
-
Construction and use of linear regression models for processor performance analysis
-
IEEE, Los Alamitos, CA
-
JOSEPH, P., VASWANI, K., AND THAZHUTHAVEETIL, M. J. 2006a. Construction and use of linear regression models for processor performance analysis. In Proceedings of the 12th Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA, 99-108.
-
(2006)
Proceedings of the 12th Symposium on High-Performance Computer Architecture
, pp. 99-108
-
-
Joseph, P.1
Vaswani, K.2
Thazhuthaveetil, M.J.3
-
22
-
-
34548333834
-
A predictive performance model for superscalar processors
-
IEEE, Los Alamitos, CA
-
JOSEPH, P., VASWANI, K., AND THAZHUTHAVEETIL, M. J. 2006b. A predictive performance model for superscalar processors. In Proceedings of the 39th Annual International Symposium on Microarchitecture. IEEE, Los Alamitos, CA, 161-170.
-
(2006)
Proceedings of the 39th Annual International Symposium on Microarchitecture
, pp. 161-170
-
-
Joseph, P.1
Vaswani, K.2
Thazhuthaveetil, M.J.3
-
24
-
-
20344374162
-
Niagara: A 32-way multithreaded sparc processor
-
KONGETIRA, P., AINGARAN, K., AND OLUKOTUN, K. 2005. Niagara: A 32-way multithreaded sparc processor. IEEE Micro 25, 2, 21-29.
-
(2005)
IEEE Micro 25
, vol.2
, pp. 21-29
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
25
-
-
84961971066
-
Fast and efficient searches for effective optimization-phase sequences
-
KULKARNI, P.,HINES, S.,WHALLEY, D.,HISER, J.,DAVIDSON, J., AND JONES, D. 2005. Fast and efficient searches for effective optimization-phase sequences. ACM Trans. Archit. Code Optim. 2, 2, 165-198.
-
(2005)
ACM Trans. Archit. Code Optim.
, vol.2
, Issue.2
, pp. 165-198
-
-
Kulkarni, P.1
Hines, S.2
Whalley, D.3
Hiser, J.4
Davidson, J.5
Jones, D.6
-
26
-
-
4644370318
-
Single-ISA heterogeneous multicore architectures for multithreaded workload performance
-
IEEE, Los Alamitos, CA
-
KUMAR, R., TULLSEN, D., RANGANATHAN, P., JOUPPI, N., AND FARKAS, K. 2004. Single-ISA heterogeneous multicore architectures for multithreaded workload performance. In Proceedings of the 31st Annual International Symposium on Computer Architecture. IEEE, Los Alamitos, CA, 64-75.
-
(2004)
Proceedings of the 31st Annual International Symposium on Computer Architecture
, pp. 64-75
-
-
Kumar, R.1
Tullsen, D.2
Ranganathan, P.3
Jouppi, N.4
Farkas, K.5
-
27
-
-
0022584417
-
Optimal pipelining in supercomputers
-
IEEE, Los Alamitos, CA
-
KUNKEL, S. AND SMITH, J. 1986. Optimal pipelining in supercomputers. In Proceedings of the 13th Annual International Symposium on Computer Architecture. IEEE, Los Alamitos, CA, 404-411.
-
(1986)
Proceedings of the 13th Annual International Symposium on Computer Architecture
, pp. 404-411
-
-
Kunkel, S.1
Smith, J.2
-
30
-
-
57749176188
-
Roughness of microarchitectural design topologies and its implications for optimization
-
IEEE, Los Alamitos, CA
-
LEE, B. AND BROOKS, D. 2008b. Roughness of microarchitectural design topologies and its implications for optimization. In Proceedings of the 14th Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA, 240-251.
-
(2008)
Proceedings of the 14th Symposium on High-Performance Computer Architecture
, pp. 240-251
-
-
Lee, B.1
Brooks, D.2
-
31
-
-
34748909426
-
Methods of inference and learning for performance modeling of parallel applications
-
ACM, New York
-
LEE, B., BROOKS, D., DE SUPINSKI, B., SCHULZ, M., SINGH, K., AND MCKEE, S. 2007. Methods of inference and learning for performance modeling of parallel applications. In Proceedings of the 12th Symposium on Principles and Practice of Parallel Programming. ACM, New York, 249-258.
-
(2007)
Proceedings of the 12th Symposium on Principles and Practice of Parallel Programming
, pp. 249-258
-
-
Lee, B.1
Brooks, D.2
De Supinski, B.3
Schulz, M.4
Singh, K.5
Mckee, S.6
-
32
-
-
66749185800
-
CPR: Composable performance regression for scalable multiprocessor models
-
IEEE, Los Alamitos, CA
-
LEE, B., COLLINS, J., WANG, H., AND BROOKS, D. 2008. CPR: composable performance regression for scalable multiprocessor models. In Proceedings of the 41st International Symposium on Microarchitecture. IEEE, Los Alamitos, CA, 270-281.
-
(2008)
Proceedings of the 41st International Symposium on Microarchitecture
, pp. 270-281
-
-
Lee, B.1
Collins, J.2
Wang, H.3
Brooks, D.4
-
33
-
-
77951014823
-
Design and test strategies for microarchitectural post-fabrication tuning
-
IEEE, Los Alamitos, CA
-
LIANG, X., LEE, B.,WEI, G.-Y., AND BROOKS, D. 2009. Design and test strategies for microarchitectural post-fabrication tuning. In Proceedings of the 27th International Conference on Computer Design. IEEE, Los Alamitos, CA, 84-90.
-
(2009)
Proceedings of the 27th International Conference on Computer Design
, pp. 84-90
-
-
Liang, X.1
Lee, B.2
Wei, G.-Y.3
Brooks, D.4
-
34
-
-
77951004568
-
Empirical performance models for 3T1D memories
-
IEEE, Los Alamitos, CA
-
LOVIN, K., LEE, B., LIANG, X., BROOKS, D., AND WEI, G.-Y. 2009. Empirical performance models for 3T1D memories. In Proceedings of the 27th International Conference on Computer Design. IEEE, Los Alamitos, CA, 398-403.
-
(2009)
Proceedings of the 27th International Conference on Computer Design
, pp. 398-403
-
-
Lovin, K.1
Lee, B.2
Liang, X.3
Brooks, D.4
Wei, G.-Y.5
-
35
-
-
3843068759
-
Methods for true energy-performance optimization
-
MARKOVIC, D., STOJANOVIC, V., NIKOLIC, B., HOROWITZ, M., AND BRODERSON, R. 2004. Methods for true energy-performance optimization. IEEE J. Solid-State Circuits 39, 8, 1282-1293.
-
(2004)
IEEE J. Solid-State Circuits
, vol.39
, Issue.8
, pp. 1282-1293
-
-
Markovic, D.1
Stojanovic, V.2
Nikolic, B.3
Horowitz, M.4
Broderson, R.5
-
36
-
-
0032683935
-
Environment for PowerPC microarchitecture exploration
-
MOUDGILL, M.,WELLMAN, J., AND MORENO, J. 1999. Environment for PowerPC microarchitecture exploration. IEEE Micro 19, 3, 9-14.
-
(1999)
IEEE Micro 19
, vol.3
, pp. 9-14
-
-
Moudgill, M.1
Wellman, J.2
Moreno, J.3
-
38
-
-
0035177240
-
Modeling superscalar processors via statistical simulation
-
IEEE, Los Alamitos, CA
-
NUSSBAUM, S. AND SMITH, J. 2001. Modeling superscalar processors via statistical simulation. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. IEEE, Los Alamitos, CA, 15-24.
-
(2001)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 15-24
-
-
Nussbaum, S.1
Smith, J.2
-
39
-
-
0033719951
-
HLS: Combining statistical and symbolic simulation to guide microprocessor designs
-
ACM, New York
-
OSKIN, M., CHONG, F., AND FARREN, M. 2000. HLS: Combining statistical and symbolic simulation to guide microprocessor designs. In Proceedings of the 27th Annual International Symposium on Computer Architecture. ACM, New York, 71-82.
-
(2000)
Proceedings of the 27th Annual International Symposium on Computer Architecture
, pp. 71-82
-
-
Oskin, M.1
Chong, F.2
Farren, M.3
-
40
-
-
33646503805
-
Measuring program similarity: Experiments with SPEC CPU benchmark suites
-
IEEE, Los Alamitos, CA
-
PHANSALKAR, A., JOSHI, A., EECKHOUT, L., AND JOHN, L. 2005. Measuring program similarity: Experiments with SPEC CPU benchmark suites. In Proceedings of the International Symposium on Performance Analysis of Systems and Software. IEEE, Los Alamitos, CA, 10-20.
-
(2005)
Proceedings of the International Symposium on Performance Analysis of Systems and Software
, pp. 10-20
-
-
Phansalkar, A.1
Joshi, A.2
Eeckhout, L.3
John, L.4
-
41
-
-
0036953769
-
Automatically characterizing large scale program behavior
-
ACM, New York
-
SHERWOOD, T.,PERELMAN, E.,HAMERLY, G., AND CALDER, B. 2002. Automatically characterizing large scale program behavior. In Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems. ACM, New York, 45-57.
-
(2002)
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 45-57
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder, B.4
-
42
-
-
25844437046
-
Power5 system microarchitecture
-
SINHAROY, B.,KALLA, R.,TENDLER, J.,EICKEMEYER, R., AND JOYNER, J. 2005. Power5 system microarchitecture. IBM J. Res. Dev. 49, 4/5, 505-521.
-
(2005)
IBM J. Res. Dev.
, vol.49
, Issue.4-5
, pp. 505-521
-
-
Sinharoy, B.1
Kalla, R.2
Tendler, J.3
Eickemeyer, R.4
Joyner, J.5
-
43
-
-
0002457851
-
Additive splines in statistics
-
ASA, Washington, DC
-
STONE, C. AND KOO, C. 1986. Additive splines in statistics. In Proceedings of the Statistical Computer Section. ASA, Washington, DC, 45-48.
-
(1986)
Proceedings of the Statistical Computer Section
, pp. 45-48
-
-
Stone, C.1
Koo, C.2
-
46
-
-
0038346244
-
SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling
-
ACM, New York
-
WUNDERLICH, R.,WENISCH, T.,FALSAFI, B., ANDHOE, J. 2003. SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling. In Proceedings of the 30th Annual International Symposium on Computer Architecture. ACM, New York, 84-97.
-
(2003)
Proceedings of the 30th Annual International Symposium on Computer Architecture
, pp. 84-97
-
-
Wunderlich R.Wenisch1
T.Falsafi, B.2
Andhoe, J.3
-
47
-
-
28244457802
-
Improving computer architecture simulationmethodology by adding statistical rigor
-
YI, J.,LILJA, D., AND HAWKINS, D. 2005. Improving computer architecture simulationmethodology by adding statistical rigor. IEEE Comput. 54, 11, 1360-1373.
-
(2005)
IEEE Comput.
, vol.54
, Issue.11
, pp. 1360-1373
-
-
Yi, J.1
Lilja, D.2
Hawkins, D.3
-
48
-
-
3242680845
-
Integrated analysis of power and performance for pipelined microprocessors
-
ZYUBAN, V., BROOKS, D., SRINIVASAN, V., GSCHWIND, M., BOSE, P., STRENSKI, P., AND EMMA, P. 2004. Integrated analysis of power and performance for pipelined microprocessors. IEEE Trans. Comput. 53, 8, 1004-1016.
-
(2004)
IEEE Trans. Comput.
, vol.53
, Issue.8
, pp. 1004-1016
-
-
Zyuban, V.1
Brooks, D.2
Srinivasan, V.3
Gschwind, M.4
Bose, P.5
Strenski, P.6
Emma, P.7
-
49
-
-
0035273395
-
Inherently lower-power high-performance superscalar architectures
-
ZYUBAN, V. AND KOGGE, P. 2001. Inherently lower-power high-performance superscalar architectures. IEEE Trans. Comput. 50, 3, 268-285.
-
(2001)
IEEE Trans. Comput.
, vol.50
, Issue.3
, pp. 268-285
-
-
Zyuban, V.1
Kogge, P.2
-
50
-
-
0348017034
-
Balancing hardware intensity inmicroprocessor pipelines
-
ZYUBAN, V. AND STRENSKI, P. 2003. Balancing hardware intensity inmicroprocessor pipelines. IBM J. Res. Dev. 47, 5/6, 585-598.
-
(2003)
IBM J. Res. Dev.
, vol.47
, Issue.5-6
, pp. 585-598
-
-
Zyuban, V.1
Strenski, P.2
|