-
2
-
-
77954692894
-
-
Perfmon2. http://perfmon2.sourceforge.net/ .
-
-
-
-
3
-
-
84944384544
-
The benefits of event: Driven energy accounting in power-sensitive systems
-
New York, NY, USA, ACM
-
F. Bellosa. The benefits of event: driven energy accounting in power-sensitive systems. In EW 9: Proceedings of the 9th workshop on ACM SIGOPS European workshop, pages 37-42, New York, NY, USA, 2000. ACM.
-
(2000)
EW 9: Proceedings of the 9th Workshop on ACM SIGOPS European Workshop
, pp. 37-42
-
-
Bellosa, F.1
-
4
-
-
70450245578
-
Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors
-
New York, NY, USA, ACM
-
A. Bhattacharjee and M. Martonosi. Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. In ISCA '09: Proceedings of the 36th annual international symposium on Computer architecture, pages 290-301, New York, NY, USA, 2009. ACM.
-
(2009)
ISCA '09: Proceedings of the 36th Annual International Symposium on Computer Architecture
, pp. 290-301
-
-
Bhattacharjee, A.1
Martonosi, M.2
-
6
-
-
28444437775
-
Runtime identification of microprocessor energy saving opportunities
-
New York, NY, USA, ACM
-
W. L. Bircher, M. Valluri, J. Law, and L. K. John. Runtime identification of microprocessor energy saving opportunities. In ISLPED '05: Proceedings of the 2005 international symposium on Low power electronics and design, pages 275-280, New York, NY, USA, 2005. ACM.
-
(2005)
ISLPED '05: Proceedings of the 2005 International Symposium on Low Power Electronics and Design
, pp. 275-280
-
-
Bircher, W.L.1
Valluri, M.2
Law, J.3
John, L.K.4
-
7
-
-
0041633858
-
Parameter variations and impact on circuits and microarchitecture
-
New York, NY, USA, ACM
-
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De. Parameter variations and impact on circuits and microarchitecture. In DAC '03: Proceedings of the 40th annual Design Automation Conference, pages 338-342, New York, NY, USA, 2003. ACM.
-
(2003)
DAC '03: Proceedings of the 40th Annual Design Automation Conference
, pp. 338-342
-
-
Borkar, S.1
Karnik, T.2
Narendra, S.3
Tschanz, J.4
Keshavarzi, A.5
De, V.6
-
8
-
-
0036041121
-
Managing energy and server resources in hosting centers
-
New York, NY, USA, ACM
-
J. S. Chase, D. C. Anderson, P. N. Thakar, A. M. Vahdat, and R. P. Doyle. Managing energy and server resources in hosting centers. In SOSP '01: Proceedings of the eighteenth ACM symposium on Operating systems principles, pages 103-116, New York, NY, USA, 2001. ACM.
-
(2001)
SOSP '01: Proceedings of the Eighteenth ACM Symposium on Operating Systems Principles
, pp. 103-116
-
-
Chase, J.S.1
Anderson, D.C.2
Thakar, P.N.3
Vahdat, A.M.4
Doyle, R.P.5
-
9
-
-
28444464524
-
Power prediction for Ontel XScale®processors using performance monitoring unit events
-
New York, NY, USA, ACM
-
G. Contreras and M. Martonosi. Power prediction for Ontel XScale®processors using performance monitoring unit events. In ISLPED '05: Proceedings of the 2005 international symposium on Low power electronics and design, pages 221-226, New York, NY, USA, 2005. ACM.
-
(2005)
ISLPED '05: Proceedings of the 2005 International Symposium on Low Power Electronics and Design
, pp. 221-226
-
-
Contreras, G.1
Martonosi, M.2
-
10
-
-
33845904113
-
Techniques for multicore thermal management: Classification and new exploration
-
Washington, DC, USA, IEEE Computer Society
-
J. Donald and M. Martonosi. Techniques for multicore thermal management: Classification and new exploration. In ISCA '06: Proceedings of the 33rd annual international symposium on Computer Architecture, pages 78-88, Washington, DC, USA, 2006. IEEE Computer Society.
-
(2006)
ISCA '06: Proceedings of the 33rd Annual International Symposium on Computer Architecture
, pp. 78-88
-
-
Donald, J.1
Martonosi, M.2
-
12
-
-
51349166333
-
Penryn: 45-nm next generation Intel® Core™ 2 processor
-
V. George, S. Jahagirdar, C. Tong, K. Smits, S. Damaraju, S. Siers, V. Naydenov, T. Khondker, S. Sarkar, and P. Singh. Penryn: 45-nm next generation Intel® Core™ 2 processor. In ASSCC'07 IEEE Asian Solid-State Circuits Conference, 2007.
-
ASSCC'07 IEEE Asian Solid-State Circuits Conference, 2007
-
-
George, V.1
Jahagirdar, S.2
Tong, C.3
Smits, K.4
Damaraju, S.5
Siers, S.6
Naydenov, V.7
Khondker, T.8
Sarkar, S.9
Singh, P.10
-
13
-
-
36849034066
-
Spec cpu2006 benchmark descriptions
-
J. L. Henning. Spec cpu2006 benchmark descriptions. SIGARCH Comput. Archit. News, 34(4):1-17, 2006.
-
(2006)
SIGARCH Comput. Archit. News
, vol.34
, Issue.4
, pp. 1-17
-
-
Henning, J.L.1
-
14
-
-
84944414165
-
Runtime power monitoring in high-end processors: Methodology and empirical data
-
Washington, DC, USA, IEEE Computer Society
-
C. Isci and M. Martonosi. Runtime power monitoring in high-end processors: Methodology and empirical data. In MICRO 36: Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture, page 93, Washington, DC, USA, 2003. IEEE Computer Society.
-
(2003)
MICRO 36: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 93
-
-
Isci, C.1
Martonosi, M.2
-
15
-
-
33748856569
-
Phase characterization for power: Evaluating control-flow-based and event-counter-based techniques
-
Princeton University, February
-
C. Isci and M. Martonosi. Phase characterization for power: Evaluating control-flow-based and event-counter-based techniques. In HPCA-12. Princeton University, February 2006.
-
(2006)
HPCA-12
-
-
Isci, C.1
Martonosi, M.2
-
16
-
-
0034863954
-
Run-time power estimation in high performance microprocessors
-
New York, NY, USA, ACM
-
R. Joseph and M. Martonosi. Run-time power estimation in high performance microprocessors. In ISLPED '01: Proceedings of the 2001 international symposium on Low power electronics and design, pages 135-140, New York, NY, USA, 2001. ACM.
-
(2001)
ISLPED '01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design
, pp. 135-140
-
-
Joseph, R.1
Martonosi, M.2
-
17
-
-
57849143298
-
A novel sequential circuit optimization with clock gating logic
-
Piscataway, NJ, USA, IEEE Press
-
Y.-M. Kuo, S.-H. Weng, and S.-C. Chang. A novel sequential circuit optimization with clock gating logic. In ICCAD '08: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, pages 230-233, Piscataway, NJ, USA, 2008. IEEE Press.
-
(2008)
ICCAD '08: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
, pp. 230-233
-
-
Kuo, Y.-M.1
Weng, S.-H.2
Chang, S.-C.3
-
18
-
-
33746314884
-
Using performance counters for runtime temperature sensing in high-performance processors
-
Washington, DC, USA, IEEE Computer Society
-
K.-J. Lee and K. Skadron. Using performance counters for runtime temperature sensing in high-performance processors. In IPDPS '05: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 11, page 232.1, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
IPDPS '05: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 11
-
-
Lee, K.-J.1
Skadron, K.2
-
19
-
-
33646169176
-
Run-time modeling and estimation of operating system power consumption
-
T. Li and L. K. John. Run-time modeling and estimation of operating system power consumption. SIGMETRICS Perform. Eval. Rev., 31(1):160-171, 2003.
-
(2003)
SIGMETRICS Perform. Eval. Rev.
, vol.31
, Issue.1
, pp. 160-171
-
-
Li, T.1
John, L.K.2
-
20
-
-
34748913622
-
Balancing power consumption in multiprocessor systems
-
A. Merkel and F. Bellosa. Balancing power consumption in multiprocessor systems. SIGOPS Oper. Syst. Rev., 40(4):403-414, 2006.
-
(2006)
SIGOPS Oper. Syst. Rev.
, vol.40
, Issue.4
, pp. 403-414
-
-
Merkel, A.1
Bellosa, F.2
-
21
-
-
0036374185
-
Critical power slope: Understanding the runtime effects of frequency scaling
-
New York, NY, USA, ACM
-
A. Miyoshi, C. Lefurgy, E. Van Hensbergen, R. Rajamony, and R. Rajkumar. Critical power slope: understanding the runtime effects of frequency scaling. In ICS '02: Proceedings of the 16th international conference on Supercomputing, pages 35-44, New York, NY, USA, 2002. ACM.
-
(2002)
ICS '02: Proceedings of the 16th International Conference on Supercomputing
, pp. 35-44
-
-
Miyoshi, A.1
Lefurgy, C.2
Van Hensbergen, E.3
Rajamony, R.4
Rajkumar, R.5
-
22
-
-
0035311079
-
Power: A first-class architectural design constraint
-
T. Mudge. Power: A first-class architectural design constraint. Computer, 34(4):52-58, 2001.
-
(2001)
Computer
, vol.34
, Issue.4
, pp. 52-58
-
-
Mudge, T.1
-
23
-
-
84949743030
-
Power issues related to branch prediction
-
Washington, DC, USA, IEEE Computer Society
-
D. Parikh, K. Skadron, Y. Zhang, M. Barcella, and M. R. Stan. Power issues related to branch prediction. In Proceedings of the 8th International Symposium on High-Performance Computer Architecture, pages 233-, Washington, DC, USA, 2002. IEEE Computer Society.
-
(2002)
Proceedings of the 8th International Symposium on High-Performance Computer Architecture
, pp. 233
-
-
Parikh, D.1
Skadron, K.2
Zhang, Y.3
Barcella, M.4
Stan, M.R.5
-
25
-
-
35348913704
-
Analysis of redundancy and application balance in the spec cpu2006 benchmark suite
-
A. Phansalkar, A. Joshi, and L. K. John. Analysis of redundancy and application balance in the spec cpu2006 benchmark suite. SIGARCH Comput. Archit. News, 35(2):412-423, 2007.
-
(2007)
SIGARCH Comput. Archit. News
, vol.35
, Issue.2
, pp. 412-423
-
-
Phansalkar, A.1
Joshi, A.2
John, L.K.3
-
26
-
-
52249100002
-
Subsetting the spec cpu2006 benchmark suite
-
A. Phansalkar, A. Joshi, and L. K. John. Subsetting the spec cpu2006 benchmark suite. SIGARCH Comput. Archit. News, 35(1):69-76, 2007.
-
(2007)
SIGARCH Comput. Archit. News
, vol.35
, Issue.1
, pp. 69-76
-
-
Phansalkar, A.1
Joshi, A.2
John, L.K.3
-
27
-
-
64949201190
-
Camp: A technique to estimate per-structure power at run-time using a few simple parameters
-
Feb.
-
M. Powell, A. Biswas, J. Emer, S. Mukherjee, B. Sheikh, and S. Yardi. Camp: A technique to estimate per-structure power at run-time using a few simple parameters. In High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on, pages 289-300, Feb. 2009.
-
(2009)
High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on
, pp. 289-300
-
-
Powell, M.1
Biswas, A.2
Emer, J.3
Mukherjee, S.4
Sheikh, B.5
Yardi, S.6
-
28
-
-
28444445926
-
-
R Development Core Team. R Foundation for Statistical Computing, Vienna, Austria, ISBN 3-900051-07-0
-
R Development Core Team. R: A language and environment for statistical computing. R Foundation for Statistical Computing, Vienna, Austria, 2005. ISBN 3-900051-07-0.
-
(2005)
R: A Language and Environment for Statistical Computing
-
-
-
29
-
-
33845913757
-
Ensemble-level power management for dense blade servers
-
Washington, DC, USA, IEEE Computer Society
-
P. Ranganathan, P. Leech, D. Irwin, and J. Chase. Ensemble-level power management for dense blade servers. In ISCA '06: Proceedings of the 33rd annual international symposium on Computer Architecture, pages 66-77, Washington, DC, USA, 2006. IEEE Computer Society.
-
(2006)
ISCA '06: Proceedings of the 33rd Annual International Symposium on Computer Architecture
, pp. 66-77
-
-
Ranganathan, P.1
Leech, P.2
Irwin, D.3
Chase, J.4
-
30
-
-
77954747737
-
-
rev.1.1 Dec 11, Online Available
-
SBSIF. SMART specifiaction rev.1.1 Dec 11, 1998. [Online] Available: http://sbs-forum.org.
-
(1998)
SMART Specifiaction
-
-
-
31
-
-
77954733934
-
Real time power estimation and thread scheduling via performance counters
-
K. Singh, M. Bhadauria, and S. A. McKee. Real time power estimation and thread scheduling via performance counters. SIGARCH Comput. Archit. News, 37(2):46-55, 2008.
-
(2008)
SIGARCH Comput. Archit. News
, vol.37
, Issue.2
, pp. 46-55
-
-
Singh, K.1
Bhadauria, M.2
McKee, S.A.3
-
32
-
-
0038684860
-
Temperature-aware microarchitecture
-
New York, NY, USA, ACM
-
K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. In ISCA '03: Proceedings of the 30th annual international symposium on Computer architecture, pages 2-13, New York, NY, USA, 2003. ACM.
-
(2003)
ISCA '03: Proceedings of the 30th Annual International Symposium on Computer Architecture
, pp. 2-13
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Velusamy, S.4
Sankaranarayanan, K.5
Tarjan, D.6
-
33
-
-
34547206285
-
A systematic method for functional unit power estimation in microprocessors
-
New York, NY, USA, ACM
-
W. Wu, L. Jin, J. Yang, P. Liu, and S. X.-D. Tan. A systematic method for functional unit power estimation in microprocessors. In Proceedings of the 43rd annual Design Automation Conference, pages 554-557, New York, NY, USA, 2006. ACM.
-
(2006)
Proceedings of the 43rd Annual Design Automation Conference
, pp. 554-557
-
-
Wu, W.1
Jin, L.2
Yang, J.3
Liu, P.4
Tan, S.X.-D.5
|