메뉴 건너뛰기




Volumn , Issue , 2010, Pages

High-performance low-Vcc in-order core

Author keywords

[No Author keywords available]

Indexed keywords

SUPERCOMPUTERS;

EID: 77952577740     PISSN: 15300897     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/hpca.2010.5416630     Document Type: Conference Paper
Times cited : (3)

References (28)
  • 1
  • 2
    • 0010357975 scopus 로고    scopus 로고
    • Reducing the complexity of the register file in dynamic super-scalar processors
    • R. Balasubramonian, S. Dwarkadas, and D. Albonesi. Reducing the complexity of the register file in dynamic super-scalar processors. In MICRO, 2001.
    • (2001) MICRO
    • Balasubramonian, R.1    Dwarkadas, S.2    Albonesi, D.3
  • 3
    • 4644304391 scopus 로고    scopus 로고
    • Using internal redundant representations and limited bypass to support pipelined adders and register files
    • M. Brown and Y. Patt. Using internal redundant representations and limited bypass to support pipelined adders and register files. In HPCA, 2002.
    • (2002) HPCA
    • Brown, M.1    Patt, Y.2
  • 5
    • 0031374601 scopus 로고    scopus 로고
    • The multicluster architecture: Reducing cycle time through partitioning
    • K. Farkas, P. Chow, N. Jouppi, and Z. Vranesic. The multicluster architecture: reducing cycle time through partitioning. In MICRO, 1997.
    • (1997) MICRO
    • Farkas, K.1    Chow, P.2    Jouppi, N.3    Vranesic, Z.4
  • 6
    • 49549096253 scopus 로고    scopus 로고
    • A sub 1W to 2W low power IA processor for mobile internet devices and ultra mobile PCs in 45nm Hi-K metal gate CMOS
    • G. Gerosa et al. A sub 1W to 2W low power IA processor for mobile internet devices and ultra mobile PCs in 45nm Hi-K metal gate CMOS. In ISSCC, 2008.
    • (2008) ISSCC
    • Gerosa, G.1
  • 7
    • 0002327718 scopus 로고    scopus 로고
    • Digital 21264 sets new standard
    • L. Gwennap. Digital 21264 sets new standard. Microprocessor Report, 10(14):1-6, 1996.
    • (1996) Microprocessor Report , vol.10 , Issue.14 , pp. 1-6
    • Gwennap, L.1
  • 9
    • 0032266439 scopus 로고    scopus 로고
    • A novel 6T-SRAM cell technology designed with rectangular patterns scalable beyond 0.18 μm generation and desirable for ultra high speed operation
    • M. Ishida et al. A novel 6T-SRAM cell technology designed with rectangular patterns scalable beyond 0.18 μm generation and desirable for ultra high speed operation. In IEDM, 1998.
    • (1998) IEDM
    • Ishida, M.1
  • 10
    • 0036916955 scopus 로고    scopus 로고
    • Power efficiency of voltage scaling in multiple clock, multiple voltage cores
    • A. Iyer and D. Marculescu. Power efficiency of voltage scaling in multiple clock, multiple voltage cores. In ICCAD, 2002.
    • (2002) ICCAD
    • Iyer, A.1    Marculescu, D.2
  • 11
    • 0030652594 scopus 로고    scopus 로고
    • Data caches for superscalar processors
    • T. Juan, J. Navarro, and O. Temam. Data caches for superscalar processors. In ICS, 1997.
    • (1997) ICS
    • Juan, T.1    Navarro, J.2    Temam, O.3
  • 12
    • 84948956783 scopus 로고    scopus 로고
    • Drowsy instruction caches: Leakage power reduction using dynamic voltage scaling and cache sub-bank prediction
    • N. Kim, K. Flautner, D. Blaauw, and T. Mudge. Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. In MICRO, 2002.
    • (2002) MICRO
    • Kim, N.1    Flautner, K.2    Blaauw, D.3    Mudge, T.4
  • 13
    • 16244419042 scopus 로고    scopus 로고
    • T super-drowsy techniques for low-leakage high-performance instruction caches
    • T super-drowsy techniques for low-leakage high-performance instruction caches. In ISLPED, 2004.
    • (2004) ISLPED
    • Kim, N.1    Flautner, K.2    Blaauw, D.3    Mudge, T.4
  • 14
    • 1542359123 scopus 로고    scopus 로고
    • The microarchitecture of a low power register file
    • N. Kim and T. Mudge. The microarchitecture of a low power register file. In ISLPED, 2003.
    • (2003) ISLPED
    • Kim, N.1    Mudge, T.2
  • 15
    • 0031336708 scopus 로고    scopus 로고
    • The filter cache: An energy efficient memory structure
    • J. Kin, M. Gupta, and W. Mangione-Smith. The filter cache: an energy efficient memory structure. In MICRO, 1997.
    • (1997) MICRO
    • Kin, J.1    Gupta, M.2    Mangione-Smith, W.3
  • 16
    • 37249006354 scopus 로고    scopus 로고
    • Latch design techniques for mitigating single event upsets in 65 nm SOI device technology
    • Dec.
    • A. KleinOsowski et al. Latch design techniques for mitigating single event upsets in 65 nm SOI device technology. IEEE Transactions on Nuclear Science, 54(6):2021-2027, Dec. 2007.
    • (2007) IEEE Transactions on Nuclear Science , vol.54 , Issue.6 , pp. 2021-2027
    • Kleinosowski, A.1
  • 17
    • 36949021307 scopus 로고    scopus 로고
    • A 160 mv, fully differential, robust schmitt trigger based sub-threshold SRAM
    • J. Kulkarni, K. Kim, and K. Roy. A 160 mv, fully differential, robust schmitt trigger based sub-threshold SRAM. In ISLPED, 2007.
    • (2007) ISLPED
    • Kulkarni, J.1    Kim, K.2    Roy, K.3
  • 18
    • 34548828285 scopus 로고    scopus 로고
    • High read stability and low leakage cache memory cell
    • Z. Liu and V. Kursun. High read stability and low leakage cache memory cell. In ISCAS, 2007.
    • (2007) ISCAS
    • Liu, Z.1    Kursun, V.2
  • 19
    • 37749046808 scopus 로고    scopus 로고
    • An area-conscious low-voltage-oriented 8TSRAM design under DVS environment
    • Y. Morita et al. An area-conscious low-voltage-oriented 8TSRAM design under DVS environment. In VLSI, 2007.
    • (2007) VLSI
    • Morita, Y.1
  • 21
    • 41349090027 scopus 로고    scopus 로고
    • Reducing register ports for higher speed and lower energy
    • I. Park, M. Powell, and T. Vijaykumar. Reducing register ports for higher speed and lower energy. In MICRO, 2002.
    • (2002) MICRO
    • Park, I.1    Powell, M.2    Vijaykumar, T.3
  • 22
    • 77952577584 scopus 로고    scopus 로고
    • On-chip cache device scaling limits and effective fault repair techniques in future nanoscale technology
    • D. Roberts, N. Kim, and T. Mudge. On-chip cache device scaling limits and effective fault repair techniques in future nanoscale technology. In DSD, 2007.
    • (2007) DSD
    • Roberts, D.1    Kim, N.2    Mudge, T.3
  • 23
    • 36949006685 scopus 로고    scopus 로고
    • A 65-nm pulsed latch with a single clocked transistor
    • M. Saint-Laurent, B. Mohammad, and P. Bassett. A 65-nm pulsed latch with a single clocked transistor. In ISLPED, 2007.
    • (2007) ISLPED
    • Saint-Laurent, M.1    Mohammad, B.2    Bassett, P.3
  • 24
    • 33746585048 scopus 로고    scopus 로고
    • Dynamic frequency and voltage control for a multiple clock domain microarchitecture
    • G. Semeraro et al. Dynamic frequency and voltage control for a multiple clock domain microarchitecture. In MICRO, 2002.
    • (2002) MICRO
    • Semeraro, G.1
  • 25
    • 0038008204 scopus 로고    scopus 로고
    • Banked multiported register files for high-frequency superscalar microprocessors
    • J. Tseng and K. Asanović. Banked multiported register files for high-frequency superscalar microprocessors. In ISCA, 2003.
    • (2003) ISCA
    • Tseng, J.1    Asanović, K.2
  • 26
    • 52649108802 scopus 로고    scopus 로고
    • Trading off cache capacity for reliability to enable low voltage operation
    • C.Wilkerson et al. Trading off cache capacity for reliability to enable low voltage operation. In ISCA, 2008.
    • (2008) ISCA
    • Wilkerson, C.1
  • 27
    • 28144454581 scopus 로고    scopus 로고
    • A 3-GHz 70MB SRAM in 65nm CMOS technology with integrated column-based dynamic power supply
    • K. Zhang et al. A 3-GHz 70MB SRAM in 65nm CMOS technology with integrated column-based dynamic power supply. In ISSCC, 2005.
    • (2005) ISSCC
    • Zhang, K.1
  • 28
    • 0031641988 scopus 로고    scopus 로고
    • The energy complexity of register files
    • V. Zyuban and P. Kogge. The energy complexity of register files. In ISLPED, 1998.
    • (1998) ISLPED
    • Zyuban, V.1    Kogge, P.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.