-
1
-
-
0036396916
-
Combining dual-supply, dual-threshold and transistor sizing for power reduction
-
September
-
S. Augsburger and B. Nikolic. Combining dual-supply, dual-threshold and transistor sizing for power reduction. In International Conference on Computer Design, September 2002.
-
(2002)
International Conference on Computer Design
-
-
Augsburger, S.1
Nikolic, B.2
-
2
-
-
0036474722
-
Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration
-
February
-
K. Bowman, S. Duvall, and J. Meindl. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. IEEE Journal of Solid State Circuits, 37(2): 183-190, February 2002.
-
(2002)
IEEE Journal of Solid State Circuits
, vol.37
, Issue.2
, pp. 183-190
-
-
Bowman, K.1
Duvall, S.2
Meindl, J.3
-
4
-
-
25844494189
-
An adaptive issue queue for reduced power at high performance
-
May
-
A. Buyuktosunoglu, S. Schuster, D. Brooks, P. Bose, P. Cook, and D. Albonesi. An adaptive issue queue for reduced power at high performance. Lecture Notes in Computer Science: Power Aware Computer Systems, 2008:25-37, May 2001.
-
(2001)
Lecture Notes in Computer Science: Power Aware Computer Systems
, vol.2008
, pp. 25-37
-
-
Buyuktosunoglu, A.1
Schuster, S.2
Brooks, D.3
Bose, P.4
Cook, P.5
Albonesi, D.6
-
5
-
-
0142196052
-
Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation
-
October
-
T. Chen and S. Naffziger. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation. IEEE Transactions on VLSI Systems, 11 (5):888-899, October 2003.
-
(2003)
IEEE Transactions on VLSI Systems
, vol.11
, Issue.5
, pp. 888-899
-
-
Chen, T.1
Naffziger, S.2
-
7
-
-
84948754628
-
Integrating adaptive on-chip storage structures for reduced dynamic power
-
September
-
S. Dropsho, A. Buyuktosunoglu, R. Balasubramonian, D. Albonesi, S. Dwarkadas, G. Semeraro, G. Magklis, and M. Scott. Integrating adaptive on-chip storage structures for reduced dynamic power. In International Conference on Parallel Architectures and Compilation Techniques, September 2002.
-
(2002)
International Conference on Parallel Architectures and Compilation Techniques
-
-
Dropsho, S.1
Buyuktosunoglu, A.2
Balasubramonian, R.3
Albonesi, D.4
Dwarkadas, S.5
Semeraro, G.6
Magklis, G.7
Scott, M.8
-
8
-
-
84944408150
-
Razor: A low-power pipeline based on circuit-level timing speculation
-
December
-
D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Zeisler, D. Blaauw, T. Austin, K. Flautner, and T. Mudge. Razor: A low-power pipeline based on circuit-level timing speculation. In International Symposium on Microarchitecture, December 2003.
-
(2003)
International Symposium on Microarchitecture
-
-
Ernst, D.1
Kim, N.S.2
Das, S.3
Pant, S.4
Rao, R.5
Pham, T.6
Zeisler, C.7
Blaauw, D.8
Austin, T.9
Flautner, K.10
Mudge, T.11
-
12
-
-
4244057196
-
-
International Technology Roadmap for Semiconductors ITRS
-
International Technology Roadmap for Semiconductors (ITRS). Process integration, devices, and structures. 2007.
-
(2007)
Process integration, devices, and structures
-
-
-
13
-
-
28244444057
-
Long-term workload phases: Duration predictions and applications to DVFS
-
September
-
C. Isci, A. Buyuktosunoglu, and M. Martonosi. Long-term workload phases: Duration predictions and applications to DVFS. IEEE Micro, 25(5):39-51, September 2005.
-
(2005)
IEEE Micro
, vol.25
, Issue.5
, pp. 39-51
-
-
Isci, C.1
Buyuktosunoglu, A.2
Martonosi, M.3
-
15
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
February
-
W. Kim, M. Gupta, G.-Y. Wei, and D. Brooks. System level analysis of fast, per-core DVFS using on-chip switching regulators. In International Symposium on High-Performance Computer Architecture, February 2008.
-
(2008)
International Symposium on High-Performance Computer Architecture
-
-
Kim, W.1
Gupta, M.2
Wei, G.-Y.3
Brooks, D.4
-
16
-
-
40349098498
-
Mitigating the impact of process variations on CPU register file and execution units
-
December
-
X. Liang and D. Brooks. Mitigating the impact of process variations on CPU register file and execution units. In International Symposium on Microarchitecture, December 2006.
-
(2006)
International Symposium on Microarchitecture
-
-
Liang, X.1
Brooks, D.2
-
18
-
-
27944472215
-
Variability and energy awareness: A microarchitecture-level perspective
-
June
-
D. Marculescu and E. Talpes. Variability and energy awareness: A microarchitecture-level perspective. In Design Automation Conference, June 2005.
-
(2005)
Design Automation Conference
-
-
Marculescu, D.1
Talpes, E.2
-
19
-
-
0036917242
-
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads
-
November
-
S. Martin, K. Flautner, T. Mudge, and D. Blaauw. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In International Conference of Computer Aided Design, November 2002.
-
(2002)
International Conference of Computer Aided Design
-
-
Martin, S.1
Flautner, K.2
Mudge, T.3
Blaauw, D.4
-
21
-
-
47349106496
-
1.1V 1GHz communications router with on-chip body bias in 150 nm CMOS
-
February
-
S. Narendra et al. 1.1V 1GHz communications router with on-chip body bias in 150 nm CMOS. In International Solid-State Circuits Conference, February 2002.
-
(2002)
International Solid-State Circuits Conference
-
-
Narendra, S.1
-
23
-
-
33644879118
-
-
January 2005
-
J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, K. Strauss, S. R. Sarangi, P. Sack, and P. Montesinos. SESC Simulator, January 2005. http://sesc.sourceforge.net.
-
SESC Simulator
-
-
Renau, J.1
Fraguela, B.2
Tuck, J.3
Liu, W.4
Prvulovic, M.5
Ceze, L.6
Strauss, K.7
Sarangi, S.R.8
Sack, P.9
Montesinos, P.10
-
25
-
-
0025415048
-
Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas
-
April
-
T. Sakurai and R. Newton. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE Journal of Solid State Circuits, 25(2):584-594, April 1990.
-
(1990)
IEEE Journal of Solid State Circuits
, vol.25
, Issue.2
, pp. 584-594
-
-
Sakurai, T.1
Newton, R.2
-
26
-
-
38949186007
-
VARIUS: A model of process variation and resulting timing errors for microarchitects
-
February
-
S. R. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, and J. Torrellas. VARIUS: A model of process variation and resulting timing errors for microarchitects. In IEEE Transactions on Semiconductor Manufacturing, February 2008.
-
(2008)
IEEE Transactions on Semiconductor Manufacturing
-
-
Sarangi, S.R.1
Greskamp, B.2
Teodorescu, R.3
Nakano, J.4
Tiwari, A.5
Torrellas, J.6
-
27
-
-
13644260163
-
Constructive timing violation for improving energy efficiency
-
L. Benini and M. Kandemir, editors
-
T. Sato and I. Arita. Constructive timing violation for improving energy efficiency. In L. Benini and M. Kandemir, editors, Compilers and Operating Systems for Low Power, 2003.
-
(2003)
Compilers and Operating Systems for Low Power
-
-
Sato, T.1
Arita, I.2
-
29
-
-
0038684860
-
Temperature-aware microarchitecture
-
June
-
K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankara-narayanan, and D. Tarjan. Temperature-aware microarchitecture. In International Symposium on Computer Architecture, June 2003.
-
(2003)
International Symposium on Computer Architecture
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Velusamy, S.4
Sankara-narayanan, K.5
Tarjan, D.6
-
32
-
-
66749117251
-
-
S. Thoziyoor, N. Muralimanohar, J. H. Ahn, and N. Jouppi. CACTI 5.1. Technical Report HPL-2008-20, Hewlett Packard Labs, April 2008.
-
S. Thoziyoor, N. Muralimanohar, J. H. Ahn, and N. Jouppi. CACTI 5.1. Technical Report HPL-2008-20, Hewlett Packard Labs, April 2008.
-
-
-
-
35
-
-
0036858210
-
Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage
-
February
-
J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chan-drakasan, and V. De. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE Journal of Solid State Circuits, 37(11): 1396-1402, February 2002.
-
(2002)
IEEE Journal of Solid State Circuits
, vol.37
, Issue.11
, pp. 1396-1402
-
-
Tschanz, J.1
Kao, J.2
Narendra, S.3
Nair, R.4
Antoniadis, D.5
Chan-drakasan, A.6
De, V.7
-
37
-
-
24544451157
-
Achieving typical delays in synchronous systems via timing error toleration
-
Technical Report 032000-0100, University of Rhode Island Department of Electrical and Computer Engineering, March
-
A. Uht. Achieving typical delays in synchronous systems via timing error toleration. Technical Report 032000-0100, University of Rhode Island Department of Electrical and Computer Engineering, March 2000.
-
(2000)
-
-
Uht, A.1
-
38
-
-
66749094826
-
Checker backend for soft and timing error recovery
-
April
-
X. Vera, J. Abella, O. Unsal, A. Gonzalez, and O. Ergin. Checker backend for soft and timing error recovery. In Workshop on Silicon Errors in Logic - System Effects, April 2006.
-
(2006)
Workshop on Silicon Errors in Logic - System Effects
-
-
Vera, X.1
Abella, J.2
Unsal, O.3
Gonzalez, A.4
Ergin, O.5
-
41
-
-
34249306904
-
HotLeakage: A temperature-aware model of subthreshold and gate leakage for architects
-
Technical Report CS-2003-05, University of Virginia, March
-
Y. Zhang, D. Parikh, K. Sankaranarayanan, and K. Skadron. HotLeakage: A temperature-aware model of subthreshold and gate leakage for architects. Technical Report CS-2003-05, University of Virginia, March 2003.
-
(2003)
-
-
Zhang, Y.1
Parikh, D.2
Sankaranarayanan, K.3
Skadron, K.4
|