-
1
-
-
84944392430
-
Checkpoint processing and recovery: Towards scalable large instruction window processors
-
San Diego, California, December
-
H. Akkary, R. Rajwar, and S. Srinivasan. Checkpoint Processing and Recovery: Towards Scalable Large Instruction Window Processors. In International Symposium on Microarchitecture, pages 423-434, San Diego, California, December 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 423-434
-
-
Akkary, H.1
Rajwar, R.2
Srinivasan, S.3
-
2
-
-
0033321638
-
DIVA: A reliable substrate for deep submicron microarchitecture design
-
Haifa, Israel, November
-
T. Austin. DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design. In International Symposium on Microarchitecture, pages 196-207, Haifa, Israel, November 1999.
-
(1999)
International Symposium on Microarchitecture
, pp. 196-207
-
-
Austin, T.1
-
3
-
-
33748538799
-
Decomposing the load-store queue by function for power reduction and scalability
-
Yorktown Heights, New York, October
-
L. Baugh and C. Zilles. Decomposing the Load-Store Queue by Function for Power Reduction and Scalability. In Watson Conference on Interaction between Architecture, Circuits, and Compilers, Yorktown Heights, New York, October 2004.
-
(2004)
Watson Conference on Interaction between Architecture, Circuits, and Compilers
-
-
Baugh, L.1
Zilles, C.2
-
4
-
-
33646346832
-
The microarchitecture of the intel™Pentium™4 processor on 90nm technology
-
February
-
D. Boggs, A. Baktha, J. Hawkins, D. Marr, J. Miller, P. Roussel, R. Singhal, B. Toll, and K. Venkatraman. The Microarchitecture of the Intel™Pentium™4 Processor on 90nm Technology. Intel Technology Journal, 8(1): 1-17, February 2004.
-
(2004)
Intel Technology Journal
, vol.8
, Issue.1
, pp. 1-17
-
-
Boggs, D.1
Baktha, A.2
Hawkins, J.3
Marr, D.4
Miller, J.5
Roussel, P.6
Singhal, R.7
Toll, B.8
Venkatraman, K.9
-
5
-
-
0003465202
-
-
Technical report 1342, Computer Sciences Department, University of Wisconsin-Madison, June
-
D. Burger and T. Austin. The SimpleScalar Tool Set, Version 2.0. Technical report 1342, Computer Sciences Department, University of Wisconsin-Madison, June 1997.
-
(1997)
The SimpleScalar Tool Set, Version 2.0
-
-
Burger, D.1
Austin, T.2
-
8
-
-
27544509382
-
Scalable load and store processing in latency tolerant processors
-
Madison, Wisconsin, June
-
A. Gandhi, H. Akkary, R. Rajwar, S. Srinivasan, and K. Lai. Scalable Load and Store Processing in Latency Tolerant Processors. In International Symposium on Computer Architecture, Madison, Wisconsin, June 2005.
-
(2005)
International Symposium on Computer Architecture
-
-
Gandhi, A.1
Akkary, H.2
Rajwar, R.3
Srinivasan, S.4
Lai, K.5
-
9
-
-
33845899197
-
-
Technical report, Electrical & Computer Engineering Department, University of Rochester, March
-
A. Garg, M. Rashid, and M. Huang. Implementation Issues of Slackened Memory Dependence Enforcement. Technical report, Electrical & Computer Engineering Department, University of Rochester, March 2006.
-
(2006)
Implementation Issues of Slackened Memory Dependence Enforcement
-
-
Garg, A.1
Rashid, M.2
Huang, M.3
-
10
-
-
0001566392
-
Two techniques to enhance the performance of memory consistency models
-
St. Charles, Illinois, August
-
K. Gharachorloo, A. Gupta, and J. Hennessy. Two Techniques to Enhance the Performance of Memory Consistency Models. In Conference on Parallel Processing, pages 1355-1364, St. Charles, Illinois, August 1991.
-
(1991)
Conference on Parallel Processing
, pp. 1355-1364
-
-
Gharachorloo, K.1
Gupta, A.2
Hennessy, J.3
-
11
-
-
33748871677
-
Software-hardware cooperative memory disambiguation
-
Austin, Texas, February
-
R. Huang, A. Garg, and M. Huang. Software-Hardware Cooperative Memory Disambiguation. In International Symposium on High-Performance Computer Architecture, pages 248-257, Austin, Texas, February 2006.
-
(2006)
International Symposium on High-performance Computer Architecture
, pp. 248-257
-
-
Huang, R.1
Garg, A.2
Huang, M.3
-
12
-
-
0032639289
-
The alpha 21264 microprocessor
-
March
-
R. Kessler. The Alpha 21264 Microprocessor. IEEE Micro, 9(2):24-36, March 1999.
-
(1999)
IEEE Micro
, vol.9
, Issue.2
, pp. 24-36
-
-
Kessler, R.1
-
14
-
-
0030717767
-
Dynamic speculation and synchronization of data dependences
-
Denver Colorado, June
-
A. Moshovos, S. Breach, T. Vijaykumar, and G. Sohi. Dynamic Speculation and Synchronization of Data Dependences. In International Symposium on Computer Architecture, pages 181-193, Denver Colorado, June 1997.
-
(1997)
International Symposium on Computer Architecture
, pp. 181-193
-
-
Moshovos, A.1
Breach, S.2
Vijaykumar, T.3
Sohi, G.4
-
15
-
-
0031364381
-
Streamlining inter-operation memory communication via data dependence prediction
-
Research Triangle Park, North Carolina, December
-
A. Moshovos and G. Sohi. Streamlining Inter-operation Memory Communication via Data Dependence Prediction. In International Symposium on Microarchitecture, pages 235-245, Research Triangle Park, North Carolina, December 1997.
-
(1997)
International Symposium on Microarchitecture
, pp. 235-245
-
-
Moshovos, A.1
Sohi, G.2
-
16
-
-
84944398264
-
Reducing design complexity of the load/store queue
-
San Diego, California, December
-
I. Park, C. Ooi, and T. Vijaykumar. Reducing Design Complexity of the Load/Store Queue. In International Symposium on Microarchitecture, pages 411-422, San Diego, California, December 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 411-422
-
-
Park, I.1
Ooi, C.2
Vijaykumar, T.3
-
17
-
-
33646019207
-
-
Technical Report (CIS), Development of Computer and Information Science, University of Pennsylvania, September
-
A. Roth. A High-Bandwidth Load-Store Unit for Single- and Multi-Threaded Processors. Technical Report (CIS), Development of Computer and Information Science, University of Pennsylvania, September 2004.
-
(2004)
A High-bandwidth Load-store Unit for Single- and Multi-threaded Processors
-
-
Roth, A.1
-
18
-
-
27544514377
-
Store Vulnerability Window (SVW): Re-execution filtering for enhanced load optimization
-
Madison, Wisconsin, June
-
A. Roth. Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization. In International Symposium on Computer Architecture, Madison, Wisconsin, June 2005.
-
(2005)
International Symposium on Computer Architecture
-
-
Roth, A.1
-
19
-
-
84944387421
-
Scalable hardware memory disambiguation for high ILP processors
-
San Diego, California, December
-
S. Sethumadhavan, R. Desikan, D. Burger, C. Moore, and S. Keckler. Scalable Hardware Memory Disambiguation for High ILP Processors. In International Symposium on Microarchitecture, pages 399-410, San Diego, California, December 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 399-410
-
-
Sethumadhavan, S.1
Desikan, R.2
Burger, D.3
Moore, C.4
Keckler, S.5
-
20
-
-
33749383494
-
Scalable store-load forwarding via store queue index prediction
-
Barcelona, Spain, December
-
T. Sha, M. Martin, and A. Roth. Scalable Store-Load Forwarding via Store Queue Index Prediction. In International Symposium on Microarchitecture, Barcelona, Spain, December 2005.
-
(2005)
International Symposium on Microarchitecture
-
-
Sha, T.1
Martin, M.2
Roth, A.3
-
21
-
-
33749388925
-
Address-indexed memory disambiguation and store-to-load forwarding
-
Barcelona, Spain, December
-
S. Stone, K. Woley, and M. Frank. Address-Indexed Memory Disambiguation and Store-to-Load Forwarding. In International Symposium on Microarchitecture, Barcelona, Spain, December 2005.
-
(2005)
International Symposium on Microarchitecture
-
-
Stone, S.1
Woley, K.2
Frank, M.3
-
22
-
-
0034441012
-
Slipstream processors: Improving both performance and fault tolerance
-
Cambridge, Massachusetts, November
-
K. Sundaramoorthy, Z. Purser, and E. Rotenberg. Slipstream Processors: Improving both Performance and Fault Tolerance. In International Conference on Architectural Support for Programming Languages and Operating Systems, pages 257-268, Cambridge, Massachusetts, November 2000.
-
(2000)
International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 257-268
-
-
Sundaramoorthy, K.1
Purser, Z.2
Rotenberg, E.3
-
23
-
-
0036298603
-
POWER4 system microarchitecture
-
January
-
J. Tendler, J. Dodson, J. Fields, H. Le, and B. Sinharoy. POWER4 System Microarchitecture. IBM Journal of Research and Development, 46(1):5-25, January 2002.
-
(2002)
IBM Journal of Research and Development
, vol.46
, Issue.1
, pp. 5-25
-
-
Tendler, J.1
Dodson, J.2
Fields, J.3
Le, H.4
Sinharoy, B.5
-
24
-
-
27544459744
-
Store buffer design in first-level multibanked data caches
-
Madison, Wisconsin, June
-
E. Torres, P. Ibanez, V. Vinals, and J. Llaberia. Store Buffer Design in First-Level Multibanked Data Caches. In International Symposium on Computer Architecture, Madison, Wisconsin, June 2005.
-
(2005)
International Symposium on Computer Architecture
-
-
Torres, E.1
Ibanez, P.2
Vinals, V.3
Llaberia, J.4
-
25
-
-
0038008204
-
Banked multiported register files for high-frequency superscalar microprocessors
-
San Diego, California, June
-
J. Tseng and K. Asanovic. Banked Multiported Register Files for High-Frequency Superscalar Microprocessors. In International Symposium on Computer Architecture, pages 62-71, San Diego, California, June 2003.
-
(2003)
International Symposium on Computer Architecture
, pp. 62-71
-
-
Tseng, J.1
Asanovic, K.2
|