-
1
-
-
0032592096
-
Design challenges of technology scaling
-
S. Borkar Design challenges of technology scaling IEEE Micro 19 4 1999 23
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 23
-
-
Borkar, S.1
-
5
-
-
0016116644
-
Design of ion-implanted MOSFET's with very small physical dimensions
-
R. Dennard, et al., Design of ion-implanted MOSFET's with very small physical dimensions, IEEE J. Solid State Circuits (1974) 256.
-
(1974)
IEEE J. Solid State Circuits
, pp. 256
-
-
Dennard, R.1
-
6
-
-
0141538246
-
Accurate modeling of transistor stacks to effectively reduce total standby leakage in nano-scale CMOS circuits
-
S. Mukhopadhyay, K. Roy, Accurate modeling of transistor stacks to effectively reduce total standby leakage in nano-scale CMOS circuits, in: Symposium of VLSI Circuits, 2003.
-
(2003)
Symposium of VLSI Circuits
-
-
Mukhopadhyay, S.1
Roy, K.2
-
7
-
-
0042697357
-
Leakage current mechanisms and leakage reduction techniques in deep-submicron CMOS circuits
-
K. Roy, S. Mukhopadhyay, H. Mahmoodi-Meimand, Leakage current mechanisms and leakage reduction techniques in deep-submicron CMOS circuits, Proc. IEEE (2003).
-
(2003)
Proc. IEEE
-
-
Roy, K.1
Mukhopadhyay, S.2
Mahmoodi-Meimand, H.3
-
8
-
-
0028430427
-
2 breakdown model for very low voltage lifetime extrapolation
-
2 breakdown model for very low voltage lifetime extrapolation IEEE Trans. Electron Devices 41 1994 761
-
(1994)
IEEE Trans. Electron Devices
, vol.41
, pp. 761
-
-
Schuegraf, K.1
Hu, C.2
-
9
-
-
0035694264
-
Impact of gate direct tunneling current on circuit performance: A simulation study
-
C. Choi, K. Nam, Z. Yu, and R.W. Dutton Impact of gate direct tunneling current on circuit performance: a simulation study IEEE Trans. Electron Devices 48 2001 2823
-
(2001)
IEEE Trans. Electron Devices
, vol.48
, pp. 2823
-
-
Choi, C.1
Nam, K.2
Yu, Z.3
Dutton, R.W.4
-
10
-
-
0034453479
-
BSIM4 gate leakage model including source drain partition
-
K. Cao, et al., BSIM4 gate leakage model including source drain partition, in: IEDM Technical Digest, 2000, p. 815.
-
(2000)
IEDM Technical Digest
, pp. 815
-
-
Cao, K.1
-
11
-
-
0042090415
-
Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current model
-
S. Mukhopadhyay, A. Raychowdhury, K. Roy, Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current model, in: Design Automation Conference (DAC), 2003, pp. 169-174.
-
(2003)
Design Automation Conference (DAC)
, pp. 169-174
-
-
Mukhopadhyay, S.1
Raychowdhury, A.2
Roy, K.3
-
12
-
-
0034508383
-
Increasing the power efficiency of application specific instruction set processors using datapath optimization
-
T. Glokler, S. Bitterlich, H. Meyr, Increasing the power efficiency of application specific instruction set processors using datapath optimization, in: IEEE Workshop on Signal Processing Systems, 2000, pp. 563-570.
-
(2000)
IEEE Workshop on Signal Processing Systems
, pp. 563-570
-
-
Glokler, T.1
Bitterlich, S.2
Meyr, H.3
-
13
-
-
0031673406
-
Reducing power consumption of dedicated processors through instruction set encoding
-
L. Benini, G. De Micheli, A. Macii, E. Macii, M. Poncino, Reducing power consumption of dedicated processors through instruction set encoding, in: Proceedings of the Eighth Great Lakes Symposium on VLSI, 1998, pp. 8-12.
-
(1998)
Proceedings of the Eighth Great Lakes Symposium on VLSI
, pp. 8-12
-
-
Benini, L.1
De Micheli, G.2
MacIi, A.3
MacIi, E.4
Poncino, M.5
-
14
-
-
0036842604
-
Memory accesses reordering for interconnect power reduction in sum-of-products computations
-
K. Masselos, S. Theoharis, P. Merakos, T. Stouraitis, and C.E. Goutis Memory accesses reordering for interconnect power reduction in sum-of-products computations IEEE Trans. Signal Process. 50 2002 2889 2899
-
(2002)
IEEE Trans. Signal Process.
, vol.50
, pp. 2889-2899
-
-
Masselos, K.1
Theoharis, S.2
Merakos, P.3
Stouraitis, T.4
Goutis, C.E.5
-
15
-
-
0030395246
-
Scheduling for minimizing the number of memory accesses in low power applications
-
R. Saied, C. Chakrabarti, Scheduling for minimizing the number of memory accesses in low power applications, in: Workshop on VLSI Signal Processing, 1996, pp. 169-178.
-
(1996)
Workshop on VLSI Signal Processing
, pp. 169-178
-
-
Saied, R.1
Chakrabarti, C.2
-
16
-
-
0036948944
-
High performance and low power FIR filter design based on sharing multiplication
-
J. Park, W. Jeong, H. Choo, H. Mahmoodi, Y. Wang, K. Roy, High performance and low power FIR filter design based on sharing multiplication, in: International Symposium on Low Power Electronics and Design (ISLPED'02), 2002, pp. 295-300.
-
(2002)
International Symposium on Low Power Electronics and Design (ISLPED'02)
, pp. 295-300
-
-
Park, J.1
Jeong, W.2
Choo, H.3
Mahmoodi, H.4
Wang, Y.5
Roy, K.6
-
17
-
-
17644372788
-
Floorplan-aware low-complexity digital filter synthesis for low-power and high-speed
-
D. Kang, H. Choo, K. Roy, Floorplan-aware low-complexity digital filter synthesis for low-power & high-speed, in: International Conference on Computer Design, 2004, pp. 354-357.
-
(2004)
International Conference on Computer Design
, pp. 354-357
-
-
Kang, D.1
Choo, H.2
Roy, K.3
-
19
-
-
0027701389
-
An exact solution of the transistor sizing problem for CMOS circuits using convex optimization
-
S. Sapatnekar, V.B. Rao, P.M. Vaidya, S.M. Kang, An exact solution of the transistor sizing problem for CMOS circuits using convex optimization, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (1993) 1612-1634.
-
(1993)
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.
, pp. 1612-1634
-
-
Sapatnekar, S.1
Rao, V.B.2
Vaidya, P.M.3
Kang, S.M.4
-
22
-
-
0037233361
-
Beyond Moore's law: The interconnect era
-
J.D. Meindl, Beyond Moore's law: the interconnect era, Comput. Sci. Eng. (2003) 20-24.
-
(2003)
Comput. Sci. Eng.
, pp. 20-24
-
-
Meindl, J.D.1
-
23
-
-
0442295641
-
A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth and power dissipation
-
M.L. Mui, K. Banerjee, and A. Mehrotra A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth and power dissipation IEEE Trans. Electron Devices 51 2004 195 203
-
(2004)
IEEE Trans. Electron Devices
, vol.51
, pp. 195-203
-
-
Mui, M.L.1
Banerjee, K.2
Mehrotra, A.3
-
25
-
-
0034477838
-
Effects of global interconnect optimizations on performance estimation of deep submicron design
-
Y. Cao, C. Hu, X. Huang, A.B. Kahng, S. Muddu, D. Stroobandt, D. Sylvester, Effects of global interconnect optimizations on performance estimation of deep submicron design, in: International Conference on Computer Aided Design, 2000, pp. 56-61.
-
(2000)
International Conference on Computer Aided Design
, pp. 56-61
-
-
Cao, Y.1
Hu, C.2
Huang, X.3
Kahng, A.B.4
Muddu, S.5
Stroobandt, D.6
Sylvester, D.7
-
26
-
-
27644483860
-
System-on-a-chip global interconnect optimization
-
A. Naeemi, R. Venkatesan, J.D. Meindl, System-on-a-chip global interconnect optimization, in: International SOC Conference, 2002, pp. 399-403.
-
(2002)
International SOC Conference
, pp. 399-403
-
-
Naeemi, A.1
Venkatesan, R.2
Meindl, J.D.3
-
27
-
-
0033359348
-
Challenges in clock gating for a low power ASIC methodology
-
D. Garrett, M. Stan, A. Dean, Challenges in clock gating for a low power ASIC methodology, in: International Symposium on Low Power Electronics and Design, 1999, pp. 176-181.
-
(1999)
International Symposium on Low Power Electronics and Design
, pp. 176-181
-
-
Garrett, D.1
Stan, M.2
Dean, A.3
-
29
-
-
0032757238
-
Automatic insertion of gated clocks at register transfer level
-
N. Raghavan, V. Akella, S. Bakshi, Automatic insertion of gated clocks at register transfer level, in: International Conference on VLSI Design, 1999, pp. 48-54.
-
(1999)
International Conference on VLSI Design
, pp. 48-54
-
-
Raghavan, N.1
Akella, V.2
Bakshi, S.3
-
31
-
-
0034784883
-
A 0.5 v power-supply scheme for low power LSIs using multi-Vt SOI CMOS technology
-
T. Fuse, A. Kameyama, M. Ohta, K. Ohuchi, A 0.5 V power-supply scheme for low power LSIs using multi-Vt SOI CMOS technology, in: Digest of Technical Papers of Symposium on VLSI Circuits, 2001, pp. 219-220.
-
(2001)
Digest of Technical Papers of Symposium on VLSI Circuits
, pp. 219-220
-
-
Fuse, T.1
Kameyama, A.2
Ohta, M.3
Ohuchi, K.4
-
33
-
-
0033714415
-
Level converters with high immunity to power-supply bouncing for high-speed sub-1-V LSIs
-
Y. Kanno, H. Mizuno, K. Tanaka, T. Watanabe, Level converters with high immunity to power-supply bouncing for high-speed sub-1-V LSIs, in: Digest of Technical Papers of Symposium on VLSI Circuits, 2000, pp. 202-203.
-
(2000)
Digest of Technical Papers of Symposium on VLSI Circuits
, pp. 202-203
-
-
Kanno, Y.1
Mizuno, H.2
Tanaka, K.3
Watanabe, T.4
-
34
-
-
0032629489
-
Synthesis of low power CMOS VLSI circuits using dual supply voltages
-
V. Sundararajan, K.K. Parhi, Synthesis of low power CMOS VLSI circuits using dual supply voltages, in: Design Automation Conference, 1999, pp. 72-75.
-
(1999)
Design Automation Conference
, pp. 72-75
-
-
Sundararajan, V.1
Parhi, K.K.2
-
36
-
-
0032651049
-
Gate level design exploiting dual supply voltages for power driven applications
-
C. Yeh, M. Chang, S. Chang, W. Jone, Gate level design exploiting dual supply voltages for power driven applications, in: Design Automation Conference, 1999, pp. 68-71.
-
(1999)
Design Automation Conference
, pp. 68-71
-
-
Yeh, C.1
Chang, M.2
Chang, S.3
Jone, W.4
-
37
-
-
0030422286
-
Optimal selection of supply voltages and level conversions during data path scheduling under resource constraints
-
M.C. Johnson, K. Roy, Optimal selection of supply voltages and level conversions during data path scheduling under resource constraints, in: Proceedings of the International Conference on Computer Design (ICCD), 1996, pp. 72-77.
-
(1996)
Proceedings of the International Conference on Computer Design (ICCD)
, pp. 72-77
-
-
Johnson, M.C.1
Roy, K.2
-
38
-
-
0031342514
-
Energy minimization using multiple supply voltages
-
J. Chang, and M. Pedram Energy minimization using multiple supply voltages IEEE Trans. VLSI Syst. 5 1997 1 8
-
(1997)
IEEE Trans. VLSI Syst.
, vol.5
, pp. 1-8
-
-
Chang, J.1
Pedram, M.2
-
40
-
-
0000700070
-
Low power CMOS digital design with dual embedded adaptive power supplies
-
T. Kuroda, and M. Hamada Low power CMOS digital design with dual embedded adaptive power supplies IEEE J. Solid State Circuits 35 2000 652 655
-
(2000)
IEEE J. Solid State Circuits
, vol.35
, pp. 652-655
-
-
Kuroda, T.1
Hamada, M.2
-
41
-
-
0034315851
-
A dynamic voltage scaled microprocessor system
-
T. Burd, T. Pering, A. Stratakos, and R. Brodersen A dynamic voltage scaled microprocessor system IEEE J. Solid State Circuits 35 11 2000 1571 1580
-
(2000)
IEEE J. Solid State Circuits
, vol.35
, Issue.11
, pp. 1571-1580
-
-
Burd, T.1
Pering, T.2
Stratakos, A.3
Brodersen, R.4
-
43
-
-
84886709991
-
VSV: L2 miss driven variable supply voltage scaling for low power
-
L. Hai, C. Chen, T.N. Vijaykumar, K. Roy, VSV: L2 miss driven variable supply voltage scaling for low power, in: International Symposium on Microarchitecture (MICRO), 2003, pp. 19-28.
-
(2003)
International Symposium on Microarchitecture (MICRO)
, pp. 19-28
-
-
Hai, L.1
Chen, C.2
Vijaykumar, T.N.3
Roy, K.4
-
44
-
-
0033100297
-
Design and optimization of dual threshold circuits for low voltage low power applications
-
L. Wei, Z. Chen, M. Johnson, K. Roy, Y. Ye, V. De, Design and optimization of dual threshold circuits for low voltage low power applications, IEEE Trans. VLSI Syst. 16 (1999).
-
(1999)
IEEE Trans. VLSI Syst.
, vol.16
-
-
Wei, L.1
Chen, Z.2
Johnson, M.3
Roy, K.4
Ye, Y.5
De, V.6
-
45
-
-
0036045143
-
Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors
-
T. Karnik, et al., Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors, in: ACM/IEEE Design Automation Conference, 2002, p. 486.
-
(2002)
ACM/IEEE Design Automation Conference
, pp. 486
-
-
Karnik, T.1
-
46
-
-
0034230287
-
Dual-threshold voltage techniques for low-power digital circuits
-
J.T. Kao, and A.P. Chandrakasan Dual-threshold voltage techniques for low-power digital circuits IEEE J. Solid State Circuits 35 2000 1009
-
(2000)
IEEE J. Solid State Circuits
, vol.35
, pp. 1009
-
-
Kao, J.T.1
Chandrakasan, A.P.2
-
47
-
-
0033680440
-
High-performance low-power CMOS circuits using multiple channel length and multiple oxide thickness
-
N. Sirisantana, L. Wei, K. Roy, High-performance low-power CMOS circuits using multiple channel length and multiple oxide thickness, in: Proceedings of the 2000 International Conference on Computer Design, 2000, p. 227.
-
(2000)
Proceedings of the 2000 International Conference on Computer Design
, pp. 227
-
-
Sirisantana, N.1
Wei, L.2
Roy, K.3
-
48
-
-
0036474722
-
Impact of die-to-die and within die parameter fluctions on the maximum clock frequency distribution for gigascale integration
-
K.A. Bowman, et al., Impact of die-to-die and within die parameter fluctions on the maximum clock frequency distribution for gigascale integration, IEEE J. Solid State Circuits (2002).
-
(2002)
IEEE J. Solid State Circuits
-
-
Bowman, K.A.1
-
49
-
-
0031635212
-
A new technique for standby leakage reduction in high performance circuits
-
Y. Ye, S. Borkar, V. De, A new technique for standby leakage reduction in high performance circuits, in: IEEE Symposium on VLSI Circuits, 1998, p. 40.
-
(1998)
IEEE Symposium on VLSI Circuits
, pp. 40
-
-
Ye, Y.1
Borkar, S.2
De, V.3
-
50
-
-
1542267065
-
Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks
-
Z. Chen, L. Wei, M. Johnson, K. Roy, Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks, in: IEEE International Conference on Computer Aided Design, 1998.
-
(1998)
IEEE International Conference on Computer Aided Design
-
-
Chen, Z.1
Wei, L.2
Johnson, M.3
Roy, K.4
-
51
-
-
0036494796
-
IDDQ testing for deep submicron ICs: Challenges and solutions
-
Z. Chen, L. Wei, A. Keshavarzi, K. Roy, IDDQ testing for deep submicron ICs: challenges and solutions, IEEE Des. Test Comput. 24 (2002).
-
(2002)
IEEE Des. Test Comput.
, vol.24
-
-
Chen, Z.1
Wei, L.2
Keshavarzi, A.3
Roy, K.4
-
52
-
-
0141527465
-
Gate leakage reduction for scaled devices using transistor stacking
-
S. Mukhopadhyay, C. Neau, T. Cakici, A. Agarwal, C.H. Kim, K. Roy, Gate leakage reduction for scaled devices using transistor stacking, in: IEEE Transactions on Very Large Scale Integration Systems, 2003.
-
(2003)
IEEE Transactions on Very Large Scale Integration Systems
-
-
Mukhopadhyay, S.1
Neau, C.2
Cakici, T.3
Agarwal, A.4
Kim, C.H.5
Roy, K.6
-
54
-
-
0029359285
-
1-V power supply high-speed digital circuit technology with multi-threshold voltage CMOS
-
S. Mutoh 1-V power supply high-speed digital circuit technology with multi-threshold voltage CMOS IEEE J. Solid State Circuits 30 1995 847
-
(1995)
IEEE J. Solid State Circuits
, vol.30
, pp. 847
-
-
Mutoh, S.1
-
55
-
-
0030697754
-
Transistor sizing issues and tool for multi-threshold CMOS technology
-
J. Kao, A. Chandrakasan, D. Antoniadis, Transistor sizing issues and tool for multi-threshold CMOS technology, in: Proceedings of ACM/IEEE Design Automation Conference, 1997, p. 495.
-
(1997)
Proceedings of ACM/IEEE Design Automation Conference
, pp. 495
-
-
Kao, J.1
Chandrakasan, A.2
Antoniadis, D.3
-
56
-
-
0031655481
-
A CMOS scheme for 0.5 v supply voltage with pico-ampere standby current
-
H. Kawaguchi, K. Nose, T. Sakurai, A CMOS scheme for 0.5 V supply voltage with pico-ampere standby current, in: Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 1998, p. 192.
-
(1998)
Digest of Technical Papers of IEEE International Solid-state Circuits Conference
, pp. 192
-
-
Kawaguchi, H.1
Nose, K.2
Sakurai, T.3
-
57
-
-
0242526897
-
Leakage-biased domino circuits for dynamic fine-grain leakage reduction
-
S. Heo, K. Asanovic, Leakage-biased domino circuits for dynamic fine-grain leakage reduction, in: Symposium on VLSI Circuits, 2002, p. 316.
-
(2002)
Symposium on VLSI Circuits
, pp. 316
-
-
Heo, S.1
Asanovic, K.2
-
58
-
-
0030086605
-
A 0.9 v 150 MHz 10 mW 4 mm 2-D discrete cosine transform core processor with variable-threshold-voltage scheme
-
T. Kuroda, et al., A 0.9 V 150 MHz 10 mW 4 mm 2-D discrete cosine transform core processor with variable-threshold-voltage scheme, in: Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 1996, p. 166.
-
(1996)
Digest of Technical Papers of IEEE International Solid-state Circuits Conference
, pp. 166
-
-
Kuroda, T.1
-
59
-
-
0006320712
-
Effectiveness of reverse body bias for low power CMOS circuits
-
A. Keshavarzi, C.F. Hawkins, K. Roy, V. De, Effectiveness of reverse body bias for low power CMOS circuits, in: Proceedings of Eighth NASA Symposium on VLSI Design, 1999, p. 231.
-
(1999)
Proceedings of Eighth NASA Symposium on VLSI Design
, pp. 231
-
-
Keshavarzi, A.1
Hawkins, C.F.2
Roy, K.3
De, V.4
-
61
-
-
0033221245
-
An 18-?A standby current 1.8-V, 200-MHz microprocessor with self-substrate-biased data-retention mode
-
H. Mizuno, et al., An 18-?A standby current 1.8-V, 200-MHz microprocessor with self-substrate-biased data-retention mode, IEEE J. Solid State Circuits 34 (1999).
-
(1999)
IEEE J. Solid State Circuits
, vol.34
-
-
Mizuno, H.1
-
62
-
-
84893738755
-
Dynamic Vth scaling scheme for active leakage power reduction
-
C.H. Kim, K. Roy, Dynamic Vth scaling scheme for active leakage power reduction, in: Design, Automation and Test in Europe, 2002, p. 163.
-
(2002)
Design, Automation and Test in Europe
, pp. 163
-
-
Kim, C.H.1
Roy, K.2
-
65
-
-
0029723245
-
A 0.8 V/100 MHz/sub-5 mW-operated mega-bit SRAM cell architecture with charge-recycle offset-source driving (OSD) scheme
-
H. Yamauchi, et al., A 0.8 V/100 MHz/sub-5 mW-operated mega-bit SRAM cell architecture with charge-recycle offset-source driving (OSD) scheme, in: Symposium on VLSI Circuits, 1996, p. 126.
-
(1996)
Symposium on VLSI Circuits
, pp. 126
-
-
Yamauchi, H.1
-
66
-
-
0033715762
-
Dynamic threshold CMOS SRAMs for fast, portable applications
-
A.J. Bhavnagarwala, A. Kapoor, J.D. Meindl, Dynamic threshold CMOS SRAMs for fast, portable applications, in: ASIC/SOC Conference, 2000, p. 359.
-
(2000)
ASIC/SOC Conference
, pp. 359
-
-
Bhavnagarwala, A.J.1
Kapoor, A.2
Meindl, J.D.3
-
67
-
-
0242425984
-
16.7 fA/cell tunnel-leakage-suppressed 16 Mb SRAM for handling cosmic-ray-induced multi-errors
-
K. Osada, et al., 16.7 fA/cell tunnel-leakage-suppressed 16 Mb SRAM for handling cosmic-ray-induced multi-errors, in: International Solid-State Circuits Conference, 2003, p. 302.
-
(2003)
International Solid-state Circuits Conference
, pp. 302
-
-
Osada, K.1
-
69
-
-
0031638941
-
Dynamic leakage cut-off scheme for low-voltage SRAM's
-
H. Kawaguchi, Y. Itaka, T. Sakurai, Dynamic leakage cut-off scheme for low-voltage SRAM's, in: Symposium on VLSI Circuits, 1998, p. 140.
-
(1998)
Symposium on VLSI Circuits
, pp. 140
-
-
Kawaguchi, H.1
Itaka, Y.2
Sakurai, T.3
-
73
-
-
0036292678
-
Dynamic fine-grain leakage reduction using leakage-biased bitlines
-
S. Heo, et al., Dynamic fine-grain leakage reduction using leakage-biased bitlines, in: International Symposium on Computer Architecture, 2002, p. 137.
-
(2002)
International Symposium on Computer Architecture
, pp. 137
-
-
Heo, S.1
-
74
-
-
0029702076
-
A deep sub-V, single power-supply SRAM cell with multi-Vt, boosted storage node and dynamic load
-
K. Itoh, A.R. Fridi, A. Bellaouar, M.I. Elmasry, A deep sub-V, single power-supply SRAM cell with multi-Vt, boosted storage node and dynamic load, in: Symposium on VLSI Circuits Digest of Technical Papers, 1996, p. 132.
-
(1996)
Symposium on VLSI Circuits Digest of Technical Papers
, pp. 132
-
-
Itoh, K.1
Fridi, A.R.2
Bellaouar, A.3
Elmasry, M.I.4
-
75
-
-
2142805953
-
DCG: Deterministic clock gating for low-power microprocessor design
-
L. Hai, S. Bhunia, Y. Chen, K. Roy, and T.N. Vijaykumar DCG: deterministic clock gating for low-power microprocessor design IEEE Trans. VLSI Syst. 12 2004 245 254
-
(2004)
IEEE Trans. VLSI Syst.
, vol.12
, pp. 245-254
-
-
Hai, L.1
Bhunia, S.2
Chen, Y.3
Roy, K.4
Vijaykumar, T.N.5
|