메뉴 건너뛰기




Volumn 39, Issue 2, 2006, Pages 64-89

Low-power design techniques for scaled technologies

Author keywords

Low leakage memory design; Low power design; Nanometer scale circuit design

Indexed keywords

LOW LEAKAGE MEMORY DESIGN; LOW POWER DESIGN; NANOMETER SCALE CIRCUIT DESIGN; POWER DENSITY;

EID: 31344470671     PISSN: 01679260     EISSN: None     Source Type: Journal    
DOI: 10.1016/j.vlsi.2005.12.001     Document Type: Article
Times cited : (38)

References (75)
  • 1
    • 0032592096 scopus 로고    scopus 로고
    • Design challenges of technology scaling
    • S. Borkar Design challenges of technology scaling IEEE Micro 19 4 1999 23
    • (1999) IEEE Micro , vol.19 , Issue.4 , pp. 23
    • Borkar, S.1
  • 5
    • 0016116644 scopus 로고
    • Design of ion-implanted MOSFET's with very small physical dimensions
    • R. Dennard, et al., Design of ion-implanted MOSFET's with very small physical dimensions, IEEE J. Solid State Circuits (1974) 256.
    • (1974) IEEE J. Solid State Circuits , pp. 256
    • Dennard, R.1
  • 6
    • 0141538246 scopus 로고    scopus 로고
    • Accurate modeling of transistor stacks to effectively reduce total standby leakage in nano-scale CMOS circuits
    • S. Mukhopadhyay, K. Roy, Accurate modeling of transistor stacks to effectively reduce total standby leakage in nano-scale CMOS circuits, in: Symposium of VLSI Circuits, 2003.
    • (2003) Symposium of VLSI Circuits
    • Mukhopadhyay, S.1    Roy, K.2
  • 7
    • 0042697357 scopus 로고    scopus 로고
    • Leakage current mechanisms and leakage reduction techniques in deep-submicron CMOS circuits
    • K. Roy, S. Mukhopadhyay, H. Mahmoodi-Meimand, Leakage current mechanisms and leakage reduction techniques in deep-submicron CMOS circuits, Proc. IEEE (2003).
    • (2003) Proc. IEEE
    • Roy, K.1    Mukhopadhyay, S.2    Mahmoodi-Meimand, H.3
  • 8
    • 0028430427 scopus 로고
    • 2 breakdown model for very low voltage lifetime extrapolation
    • 2 breakdown model for very low voltage lifetime extrapolation IEEE Trans. Electron Devices 41 1994 761
    • (1994) IEEE Trans. Electron Devices , vol.41 , pp. 761
    • Schuegraf, K.1    Hu, C.2
  • 9
    • 0035694264 scopus 로고    scopus 로고
    • Impact of gate direct tunneling current on circuit performance: A simulation study
    • C. Choi, K. Nam, Z. Yu, and R.W. Dutton Impact of gate direct tunneling current on circuit performance: a simulation study IEEE Trans. Electron Devices 48 2001 2823
    • (2001) IEEE Trans. Electron Devices , vol.48 , pp. 2823
    • Choi, C.1    Nam, K.2    Yu, Z.3    Dutton, R.W.4
  • 10
    • 0034453479 scopus 로고    scopus 로고
    • BSIM4 gate leakage model including source drain partition
    • K. Cao, et al., BSIM4 gate leakage model including source drain partition, in: IEDM Technical Digest, 2000, p. 815.
    • (2000) IEDM Technical Digest , pp. 815
    • Cao, K.1
  • 11
    • 0042090415 scopus 로고    scopus 로고
    • Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current model
    • S. Mukhopadhyay, A. Raychowdhury, K. Roy, Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current model, in: Design Automation Conference (DAC), 2003, pp. 169-174.
    • (2003) Design Automation Conference (DAC) , pp. 169-174
    • Mukhopadhyay, S.1    Raychowdhury, A.2    Roy, K.3
  • 12
    • 0034508383 scopus 로고    scopus 로고
    • Increasing the power efficiency of application specific instruction set processors using datapath optimization
    • T. Glokler, S. Bitterlich, H. Meyr, Increasing the power efficiency of application specific instruction set processors using datapath optimization, in: IEEE Workshop on Signal Processing Systems, 2000, pp. 563-570.
    • (2000) IEEE Workshop on Signal Processing Systems , pp. 563-570
    • Glokler, T.1    Bitterlich, S.2    Meyr, H.3
  • 15
    • 0030395246 scopus 로고    scopus 로고
    • Scheduling for minimizing the number of memory accesses in low power applications
    • R. Saied, C. Chakrabarti, Scheduling for minimizing the number of memory accesses in low power applications, in: Workshop on VLSI Signal Processing, 1996, pp. 169-178.
    • (1996) Workshop on VLSI Signal Processing , pp. 169-178
    • Saied, R.1    Chakrabarti, C.2
  • 17
    • 17644372788 scopus 로고    scopus 로고
    • Floorplan-aware low-complexity digital filter synthesis for low-power and high-speed
    • D. Kang, H. Choo, K. Roy, Floorplan-aware low-complexity digital filter synthesis for low-power & high-speed, in: International Conference on Computer Design, 2004, pp. 354-357.
    • (2004) International Conference on Computer Design , pp. 354-357
    • Kang, D.1    Choo, H.2    Roy, K.3
  • 22
    • 0037233361 scopus 로고    scopus 로고
    • Beyond Moore's law: The interconnect era
    • J.D. Meindl, Beyond Moore's law: the interconnect era, Comput. Sci. Eng. (2003) 20-24.
    • (2003) Comput. Sci. Eng. , pp. 20-24
    • Meindl, J.D.1
  • 23
    • 0442295641 scopus 로고    scopus 로고
    • A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth and power dissipation
    • M.L. Mui, K. Banerjee, and A. Mehrotra A global interconnect optimization scheme for nanometer scale VLSI with implications for latency, bandwidth and power dissipation IEEE Trans. Electron Devices 51 2004 195 203
    • (2004) IEEE Trans. Electron Devices , vol.51 , pp. 195-203
    • Mui, M.L.1    Banerjee, K.2    Mehrotra, A.3
  • 34
    • 0032629489 scopus 로고    scopus 로고
    • Synthesis of low power CMOS VLSI circuits using dual supply voltages
    • V. Sundararajan, K.K. Parhi, Synthesis of low power CMOS VLSI circuits using dual supply voltages, in: Design Automation Conference, 1999, pp. 72-75.
    • (1999) Design Automation Conference , pp. 72-75
    • Sundararajan, V.1    Parhi, K.K.2
  • 36
    • 0032651049 scopus 로고    scopus 로고
    • Gate level design exploiting dual supply voltages for power driven applications
    • C. Yeh, M. Chang, S. Chang, W. Jone, Gate level design exploiting dual supply voltages for power driven applications, in: Design Automation Conference, 1999, pp. 68-71.
    • (1999) Design Automation Conference , pp. 68-71
    • Yeh, C.1    Chang, M.2    Chang, S.3    Jone, W.4
  • 37
    • 0030422286 scopus 로고    scopus 로고
    • Optimal selection of supply voltages and level conversions during data path scheduling under resource constraints
    • M.C. Johnson, K. Roy, Optimal selection of supply voltages and level conversions during data path scheduling under resource constraints, in: Proceedings of the International Conference on Computer Design (ICCD), 1996, pp. 72-77.
    • (1996) Proceedings of the International Conference on Computer Design (ICCD) , pp. 72-77
    • Johnson, M.C.1    Roy, K.2
  • 38
    • 0031342514 scopus 로고    scopus 로고
    • Energy minimization using multiple supply voltages
    • J. Chang, and M. Pedram Energy minimization using multiple supply voltages IEEE Trans. VLSI Syst. 5 1997 1 8
    • (1997) IEEE Trans. VLSI Syst. , vol.5 , pp. 1-8
    • Chang, J.1    Pedram, M.2
  • 39
    • 0035472548 scopus 로고    scopus 로고
    • On gate level power optimization using dual supply voltages
    • C. Chen, A. Srivastava, and M. Sarrafzadeh On gate level power optimization using dual supply voltages IEEE Trans. VLSI Syst. 9 2001 616 629
    • (2001) IEEE Trans. VLSI Syst. , vol.9 , pp. 616-629
    • Chen, C.1    Srivastava, A.2    Sarrafzadeh, M.3
  • 40
    • 0000700070 scopus 로고    scopus 로고
    • Low power CMOS digital design with dual embedded adaptive power supplies
    • T. Kuroda, and M. Hamada Low power CMOS digital design with dual embedded adaptive power supplies IEEE J. Solid State Circuits 35 2000 652 655
    • (2000) IEEE J. Solid State Circuits , vol.35 , pp. 652-655
    • Kuroda, T.1    Hamada, M.2
  • 44
    • 0033100297 scopus 로고    scopus 로고
    • Design and optimization of dual threshold circuits for low voltage low power applications
    • L. Wei, Z. Chen, M. Johnson, K. Roy, Y. Ye, V. De, Design and optimization of dual threshold circuits for low voltage low power applications, IEEE Trans. VLSI Syst. 16 (1999).
    • (1999) IEEE Trans. VLSI Syst. , vol.16
    • Wei, L.1    Chen, Z.2    Johnson, M.3    Roy, K.4    Ye, Y.5    De, V.6
  • 45
    • 0036045143 scopus 로고    scopus 로고
    • Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors
    • T. Karnik, et al., Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors, in: ACM/IEEE Design Automation Conference, 2002, p. 486.
    • (2002) ACM/IEEE Design Automation Conference , pp. 486
    • Karnik, T.1
  • 46
    • 0034230287 scopus 로고    scopus 로고
    • Dual-threshold voltage techniques for low-power digital circuits
    • J.T. Kao, and A.P. Chandrakasan Dual-threshold voltage techniques for low-power digital circuits IEEE J. Solid State Circuits 35 2000 1009
    • (2000) IEEE J. Solid State Circuits , vol.35 , pp. 1009
    • Kao, J.T.1    Chandrakasan, A.P.2
  • 48
    • 0036474722 scopus 로고    scopus 로고
    • Impact of die-to-die and within die parameter fluctions on the maximum clock frequency distribution for gigascale integration
    • K.A. Bowman, et al., Impact of die-to-die and within die parameter fluctions on the maximum clock frequency distribution for gigascale integration, IEEE J. Solid State Circuits (2002).
    • (2002) IEEE J. Solid State Circuits
    • Bowman, K.A.1
  • 49
    • 0031635212 scopus 로고    scopus 로고
    • A new technique for standby leakage reduction in high performance circuits
    • Y. Ye, S. Borkar, V. De, A new technique for standby leakage reduction in high performance circuits, in: IEEE Symposium on VLSI Circuits, 1998, p. 40.
    • (1998) IEEE Symposium on VLSI Circuits , pp. 40
    • Ye, Y.1    Borkar, S.2    De, V.3
  • 54
    • 0029359285 scopus 로고
    • 1-V power supply high-speed digital circuit technology with multi-threshold voltage CMOS
    • S. Mutoh 1-V power supply high-speed digital circuit technology with multi-threshold voltage CMOS IEEE J. Solid State Circuits 30 1995 847
    • (1995) IEEE J. Solid State Circuits , vol.30 , pp. 847
    • Mutoh, S.1
  • 57
    • 0242526897 scopus 로고    scopus 로고
    • Leakage-biased domino circuits for dynamic fine-grain leakage reduction
    • S. Heo, K. Asanovic, Leakage-biased domino circuits for dynamic fine-grain leakage reduction, in: Symposium on VLSI Circuits, 2002, p. 316.
    • (2002) Symposium on VLSI Circuits , pp. 316
    • Heo, S.1    Asanovic, K.2
  • 58
    • 0030086605 scopus 로고    scopus 로고
    • A 0.9 v 150 MHz 10 mW 4 mm 2-D discrete cosine transform core processor with variable-threshold-voltage scheme
    • T. Kuroda, et al., A 0.9 V 150 MHz 10 mW 4 mm 2-D discrete cosine transform core processor with variable-threshold-voltage scheme, in: Digest of Technical Papers of IEEE International Solid-State Circuits Conference, 1996, p. 166.
    • (1996) Digest of Technical Papers of IEEE International Solid-state Circuits Conference , pp. 166
    • Kuroda, T.1
  • 61
    • 0033221245 scopus 로고    scopus 로고
    • An 18-?A standby current 1.8-V, 200-MHz microprocessor with self-substrate-biased data-retention mode
    • H. Mizuno, et al., An 18-?A standby current 1.8-V, 200-MHz microprocessor with self-substrate-biased data-retention mode, IEEE J. Solid State Circuits 34 (1999).
    • (1999) IEEE J. Solid State Circuits , vol.34
    • Mizuno, H.1
  • 62
    • 84893738755 scopus 로고    scopus 로고
    • Dynamic Vth scaling scheme for active leakage power reduction
    • C.H. Kim, K. Roy, Dynamic Vth scaling scheme for active leakage power reduction, in: Design, Automation and Test in Europe, 2002, p. 163.
    • (2002) Design, Automation and Test in Europe , pp. 163
    • Kim, C.H.1    Roy, K.2
  • 64
  • 65
    • 0029723245 scopus 로고    scopus 로고
    • A 0.8 V/100 MHz/sub-5 mW-operated mega-bit SRAM cell architecture with charge-recycle offset-source driving (OSD) scheme
    • H. Yamauchi, et al., A 0.8 V/100 MHz/sub-5 mW-operated mega-bit SRAM cell architecture with charge-recycle offset-source driving (OSD) scheme, in: Symposium on VLSI Circuits, 1996, p. 126.
    • (1996) Symposium on VLSI Circuits , pp. 126
    • Yamauchi, H.1
  • 67
    • 0242425984 scopus 로고    scopus 로고
    • 16.7 fA/cell tunnel-leakage-suppressed 16 Mb SRAM for handling cosmic-ray-induced multi-errors
    • K. Osada, et al., 16.7 fA/cell tunnel-leakage-suppressed 16 Mb SRAM for handling cosmic-ray-induced multi-errors, in: International Solid-State Circuits Conference, 2003, p. 302.
    • (2003) International Solid-state Circuits Conference , pp. 302
    • Osada, K.1
  • 73
    • 0036292678 scopus 로고    scopus 로고
    • Dynamic fine-grain leakage reduction using leakage-biased bitlines
    • S. Heo, et al., Dynamic fine-grain leakage reduction using leakage-biased bitlines, in: International Symposium on Computer Architecture, 2002, p. 137.
    • (2002) International Symposium on Computer Architecture , pp. 137
    • Heo, S.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.