메뉴 건너뛰기




Volumn 14, Issue 12, 1995, Pages 1445-1458

An Integrated System for Assigning Signal Flow Directions to CMOS Transistors

Author keywords

[No Author keywords available]

Indexed keywords

ALGORITHMS; CMOS INTEGRATED CIRCUITS; COMPUTATIONAL LINGUISTICS; COMPUTER AIDED DESIGN; GRAPH THEORY; KNOWLEDGE BASED SYSTEMS; LOGIC CIRCUITS; LOGIC GATES; SEMICONDUCTOR DEVICE MODELS;

EID: 0029517634     PISSN: 02780070     EISSN: 19374151     Source Type: Journal    
DOI: 10.1109/43.476575     Document Type: Article
Times cited : (4)

References (31)
  • 2
    • 0023347724 scopus 로고
    • Derivation of signal flow direction in MOS VLSI
    • May
    • N. P. Jouppi, “Derivation of signal flow direction in MOS VLSI,” IEEE Trans. Computer-Aided Design, vol. CAD-6, pp. 480–490, May 1987.
    • (1987) IEEE Trans. Computer-Aided Design , vol.CAD-6 , pp. 480-490
    • Jouppi, N.P.1
  • 3
    • 0023386645 scopus 로고
    • Timing analysis and performance improvement of MOS VLSI designs
    • July
    • N. P. Jouppi, “Timing analysis and performance improvement of MOS VLSI designs,” IEEE Trans. Computer-Aided Design, vol. CAD-6, pp. 650–665, July 1987.
    • (1987) IEEE Trans. Computer-Aided Design , vol.CAD-6 , pp. 650-665
    • Jouppi, N.P.1
  • 4
    • 0000682349 scopus 로고
    • A switch-level timing verifier for digital MOS VLSI
    • July
    • J. K. Ousterhout, “A switch-level timing verifier for digital MOS VLSI,” IEEE Trans. Computer-Aided Design, vol. CAD-4, pp. 336–349, July 1985.
    • (1985) IEEE Trans. Computer-Aided Design , vol.CAD-4 , pp. 336-349
    • Ousterhout, J.K.1
  • 5
    • 0024935647 scopus 로고
    • Feedback loops and large subcircuits in the multiprocessor implementation of a relaxation based circuit simulation
    • P. Odent et al., “Feedback loops and large subcircuits in the multiprocessor implementation of a relaxation based circuit simulation,” in Proc. Design Automat. Conf., 1989, pp. 25–30.
    • (1989) Proc. Design Automat. Conf. , pp. 25-30
    • Odent, P.1
  • 8
    • 0022752113 scopus 로고
    • Improving the performance of a switch-level simulator targeted for a logic simulation machine
    • July
    • I. Spillinger and G. M. Silberman, “Improving the performance of a switch-level simulator targeted for a logic simulation machine,” IEEE Trans. Computer-Aided Design, vol. CAD-5, pp. 396–404, July 1986.
    • (1986) IEEE Trans. Computer-Aided Design , vol.CAD-5 , pp. 396-404
    • Spillinger, I.1    Silberman, G.M.2
  • 9
    • 0024142164 scopus 로고
    • Switch level random pattern testability analysis
    • M. A. Cirit, “Switch level random pattern testability analysis,” in Proc. Design Automation Conf., 1988, pp. 587–590.
    • (1988) Proc. Design Automation Conf. , pp. 587-590
    • Cirit, M.A.1
  • 10
    • 0022332105 scopus 로고
    • An algorithm to generate tests for MOS circuits at the switch level
    • H. H. Chen, R. G. Mathews, and J. A. Newkirk, “An algorithm to generate tests for MOS circuits at the switch level,” in Proc. Int. Test Conf., 1985, pp. 304–312.
    • (1985) Proc. Int. Test Conf. , pp. 304-312
    • Chen, H.H.1    Mathews, R.G.2    Newkirk, J.A.3
  • 12
    • 0021377624 scopus 로고
    • A switch-level model and simulator for MOS digital systems
    • Feb.
    • R. E. Bryant, “A switch-level model and simulator for MOS digital systems,” IEEE Trans. Comput., vol. C-33, pp. 160–177, Feb. 1984.
    • (1984) IEEE Trans. Comput. , vol.C-33 , pp. 160-177
    • Bryant, R.E.1
  • 13
    • 0026128874 scopus 로고
    • Awitch-level simulation using dynamic graph algorithms
    • Mar.
    • D. Adler, “Awitch-level simulation using dynamic graph algorithms,” IEEE Trans. Computer-Aided Design, vol. 10, pp. 346–355, Mar. 1991.
    • (1991) IEEE Trans. Computer-Aided Design , vol.10 , pp. 346-355
    • Adler, D.1
  • 15
    • 84990675916 scopus 로고
    • MOSSIM: A switch-level simulation for MOS LSI
    • R. E. Bryant, “MOSSIM: A switch-level simulation for MOS LSI,” in Proc. Design Automat. Conf., 1981, pp. 786–790.
    • (1981) Proc. Design Automat. Conf. , pp. 786-790
    • Bryant, R.E.1
  • 16
    • 0025486876 scopus 로고
    • Derivation of signal flow direction in MOS VLSI: An alternative approach
    • W. De Rammelaere, I. Bolsens, and L. Claesen, “Derivation of signal flow direction in MOS VLSI: An alternative approach,” in Proc. Int. Conf. Computer Design, 1990, pp. 206–209.
    • (1990) Proc. Int. Conf. Computer Design , pp. 206-209
    • De Rammelaere, W.1    Bolsens, I.2    Claesen, L.3
  • 17
    • 0026981872 scopus 로고
    • Efficient partitioning and analysis of digital CMOS circuits
    • U. Hubner and H. T. Vierhaus, “Efficient partitioning and analysis of digital CMOS circuits,” in Proc. Int. Conf. Computer-Aided Design, 1992, pp. 280–283.
    • (1992) Proc. Int. Conf. Computer-Aided Design , pp. 280-283
    • Hubner, U.1    Vierhaus, H.T.2
  • 18
    • 0025530929 scopus 로고
    • A new method for assigning signal flow directions to MOS transistors
    • Nov.
    • K. J. Lee and M. A. Breuer, “A new method for assigning signal flow directions to MOS transistors,” in Proc. Int. Conf. Computer-Aided Design, Nov. 1990, pp. 492–495.
    • (1990) Proc. Int. Conf. Computer-Aided Design , pp. 492-495
    • Lee, K.J.1    Breuer, M.A.2
  • 19
    • 84976816483 scopus 로고
    • A polynomial solution to the undirected two paths problem
    • July
    • Y. Shiloach, “A polynomial solution to the undirected two paths problem,” J. ACM, vol. 27, pp. 445–456, July 1980.
    • (1980) J. ACM , vol.27 , pp. 445-456
    • Shiloach, Y.1
  • 20
    • 0001084179 scopus 로고
    • Disjoint paths in graphs
    • P. D. Seymour, “Disjoint paths in graphs,” Discrete Mathemat., vol. 29, pp. 293–309, 1980.
    • (1980) Discrete Mathemat. , vol.29 , pp. 293-309
    • Seymour, P.D.1
  • 21
    • 30744442619 scopus 로고
    • The two path problem and wire routing design
    • N. Saito and T. Nishizeki Eds. New York: Springer
    • T. Ohtsuki, “The two path problem and wire routing design,” in Graph Theory and Algorithms, N. Saito and T. Nishizeki Eds. New York: Springer, 1980.
    • (1980) Graph Theory and Algorithms
    • Ohtsuki, T.1
  • 23
    • 0003780715 scopus 로고
    • Reading, MA: Addison-Wesley
    • F. Harary, Graph Theory. Reading, MA: Addison-Wesley, 1969.
    • (1969) Graph Theory.
    • Harary, F.1
  • 24
    • 0001243769 scopus 로고
    • Dividing a graph into triconnected components
    • Sept.
    • J. E. Hopcroft and R. E. Tarjan, “Dividing a graph into triconnected components,” SIAM J. Comput, vol. 2, no. 3, pp. 135–158, Sept. 1973.
    • (1973) SIAM J. Comput , vol.2 , Issue.3 , pp. 135-158
    • Hopcroft, J.E.1    Tarjan, R.E.2
  • 26
  • 28
    • 0002609165 scopus 로고
    • A neutral netlist of 10 combinational benchmark circuits and a target translator in Fortran
    • F. Brglez and H. Fujiwara, “A neutral netlist of 10 combinational benchmark circuits and a target translator in Fortran,” in Proc. Int. Symp. Circuits Syst., 1985.
    • (1985) Proc. Int. Symp. Circuits Syst.
    • Brglez, F.1    Fujiwara, H.2
  • 29
    • 84933451547 scopus 로고    scopus 로고
    • private communication
    • G. Bischoff, private communication.
    • Bischoff, G.1
  • 30
    • 84933443677 scopus 로고    scopus 로고
    • private communication
    • B. Pi, private communication.
    • Pi, B.1
  • 31
    • 84933415633 scopus 로고    scopus 로고
    • private communication
    • R. Gupta, private communication.
    • Gupta, R.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.